• A new measure for calculating multiple fault coverage of microprocessor self-testOyeniran, Adeboye Stephen; Odozi, Uzochukwu Eddie; Ubar, Raimund-JohannesBEC 2016 : 2016 15th Biennial Baltic Electronics Conference : proceedings of the 15th Biennial Baltic Electronics Conference : Tallinn University of Technology, October 3-5, 2016, Tallinn, Estonia2016 / p. 75-78 : ill http://www.ester.ee/record=b2150914*est
  • Aasta 2000 on probleem ka EestisKirt, Toomas; Tepandi, JaakInfotehnoloogia haldusjuhtimises : aastaraamat 19981999 / lk. 18-22
  • Aasta 2000 probleemist ja ühilduvusestKirt, Toomas; Tepandi, JaakA & A1999 / 1, lk. 46-50
  • Acceleration of recursive data sorting over tree-based structuresMihhailov, Dmitri; Sudnitsõn, Aleksander; Sklyarov, Valery; Skliarova, IouliiaElektronika ir elektrotechnika = Electronics and electrical engineering2011 / p. 51-56 : ill https://eejournal.ktu.lt/index.php/elt/article/view/612
  • Adapting the auto to a new tuneHelander, Johannes; Preden, Jürgo-Sören1st Workshop on Models and Analysis for Automotive Systems : RTSS 2006 : The 27th IEEE Real-Time Systems Symposium : 5-8 December 2006, Rio de Janeiro, Brazil2006 / p. 21-24 https://www.microsoft.com/en-us/research/publication/adapting-the-auto-to-a-new-tune/
  • Address-based data processing over N-ary treesSklyarov, Valery; Skliarova, Iouliia; Kruus, Margus; Mihhailov, Dmitri; Sudnitsõn, AleksanderEuroCon 2013 : 01-04 July 2013, Zagreb, Croatia2013 / p. 1790-1797 : ill
  • Advanced Hardware Protection Mechanisms : A Study on Logic Locking and Circuit Obfuscation Techniques [Võrguteavik] = Täiustatud riistvara kaitsemehhanismid : uuring loogikalukustamise ja hägustamise tehnikate kohtaCosta de Almeida, Antonio Felipe2025 https://www.ester.ee/record=b5752171*est https://digikogu.taltech.ee/et/Item/9840306f-39a2-4ac7-b275-9a841a75857b https://doi.org/10.23658/taltech.42/2025
  • Advances in Model and Data Engineering in the Digitalization Era : MEDI 2021 International Workshops : DETECT, SIAS, CSMML, BIOC, HEDA, Tallinn, Estonia, June 21–23, 2021 : proceedings2021 https://doi.org/10.1007/978-3-030-87657-9
  • An optimization framework for dynamic pipeline management in computing systemsNaqvi, Syed Rameez; Zahid, Anjum; Sawalha, Lina; Jenihhin, MaksimComputers & electrical engineering2019 / p. 242-258 : ill https://doi.org/10.1016/j.compeleceng.2019.07.013 https://www.scopus.com/sourceid/18159 https://www.scopus.com/record/display.uri?eid=2-s2.0-85069706252&origin=inward&txGid=7e4f61996b13253ec08f04ab9fb87fe9 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=COMPUT%20ELECTR%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000487574700019
  • Andmetöötluse riistvaraAgur, Ustus1976 https://www.ester.ee/record=b1287490*est
  • Application of high-level decision diagrams for simulation-based verification tasksJenihhin, Maksim; Raik, Jaan; Tšepurov, Anton; Ubar, Raimund-JohannesEstonian journal of engineering2010 / 1, p. 56-77 : ill
  • Application-specific hardware accelerator for implementing recursive sorting algorithmsMihhailov, Dmitri; Sklyarov, Valery; Skliarova, Iouliia; Sudnitsõn, AleksanderProceedings of the IEEE International Conference on Field Programmable Technology (FPT'10) : Beijing, China Dec. 8-10, 20102010 / p. 269-272 : ill https://ieeexplore.ieee.org/document/5681486
  • APPRAISER : DNN fault resilience analysis employing approximation errorsTaheri, Mahdi; Ahmadilivani, Mohammad Hasan; Jenihhin, Maksim; Daneshtalab, Masoud; Raik, Jaan2023 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS)2023 / p. 124−127 : ill https://ddecs2023.taltech.ee/ https://doi.org//10.1109/DDECS57882.2023.10139468
  • Approaches to extra-functional verification of security and reliability aspects in hardware designs = Riistvaraprojektide turva- ja töökindlusaspektide ekstrafunktsionaalse verifitseerimise lähenemisviisidLai, Xinhui2022 https://doi.org/10.23658/taltech.29/2022 https://digikogu.taltech.ee/et/Item/cff1aeb9-b0b2-49ce-b81a-bfb9dc25fd56 https://www.ester.ee/record=b5502807*est
  • Area efficient design and implementation of a novel divider circuit block = Uudne efektiivne jagamistehte riistvaraline realisatsioonPatankar, Udayan Sunil2025 https://www.ester.ee/record=b5746970*est https://digikogu.taltech.ee/et/Item/bada7a0d-f54f-48bb-844d-37e23ad8d029 https://doi.org/10.23658/taltech.29/2025
  • Areeba : an area efficient binary huff-curve architectureSajid, Asher; Rashid, Muhammad; Jamal, Sajjad Shaukat; Imran, Malik; Alotaibi, Saud S.; Sinky, Mohammed H.Electronics (Switzerland)2021 / art. 1490 https://doi.org/10.3390/electronics10121490 https://www.scopus.com/sourceid/21100829272 https://www.scopus.com/record/display.uri?eid=2-s2.0-85108164597&origin=resultslist&sort=plf-f&src=s&sid=0572f7efec97a2ba4690f692a7486e18&sot=b&sdt=b&s=DOI%2810.3390%2Felectronics10121490%29&sl=26&sessionSearchId=0572f7efec97a2ba4690f692a7486e18&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ELECTRONICS-SWITZ&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000666223100001
  • ARTmine : automatic association rule mining with temporal behavior for hardware verificationHeidari Iman, Mohammad Reza; Jervan, Gert; Ghasempouri, Tara2024 Design, Automation & Test in Europe Conference & Exhibition (DATE) : Valencia, Spain, 25-27 March 2024 : proceedings2024 / p. 1-6 : ill https://ieeexplore.ieee.org/document/10546742
  • Arvuti riistvarasse peidetud troojalane pakub häkkeritele hõlbuelu [Võrguväljaanne]Oidermaa, Jaan-Juhannovaator.err.ee2022 https://novaator.err.ee/1608477221/arvuti-riistvarasse-peidetud-troojalane-pakub-hakkeritele-holbuelu
  • Arvutid nööpiSinivee, VeljoPraktiline Arvutikasutaja2006 / 3, lk. 33-35 : ill https://artiklid.elnet.ee/record=b1053463*est
  • Arvutitehnika riistvara : õpik kõrgkoolideleEvartson, Teet2013 https://www.ester.ee/record=b2967116*est
  • Assessment and Enhancement of Hardware Reliability for Deep Neural Networks = Riistvara töökindluse hindamine ja täiustamine süvanärvivõrkude jaoksAhmadilivani, Mohammad Hasan2025 https://www.ester.ee/record=b5739227*est https://digikogu.taltech.ee/et/Item/652d50e3-773f-4de5-897d-86531feb0d56 https://doi.org/10.23658/taltech.19/2025
  • Autonoomsed andmelogeridToomsalu, ArvoA & A2008 / 1, lk. 11-28 : ill https://artiklid.elnet.ee/record=b1021439*est
  • Avatud lähtekood ja riistvaraRebane, JaanA & A2009 / 6, lk. 9-17
  • Benchmarking advanced security closure of physical layoutsEslami, Mohammad; Knechtel, Johann; Sinanoglu, Ozgur; Karri, Ramesh; Pagliarini, Samuel NascimentoISPD '23 : proceedings of the 2023 International Symposium on Physical Design2023 / p. 256-264 https://doi.org/10.1145/3569052.3578924 https://dl.acm.org/doi/pdf/10.1145/3569052.3578924
  • Bottlenecks in hardware design and design automation [Electronic resource] : [slides]Ellervee, PeeterDesign and Test Technology for Dependable Hardware/Software Systems : DEDIS/DAAD Summer Academy : BTU Cottbus, Sept. 1st-12th, 20082008 / [24] p. : ill. [CD-ROM]
  • Bottlenecks in hardware design and design automation (Hardware synthesis: no pain, no gain)Ellervee, PeeterCREDES Summer School : Dependable Systems Design : handouts2011 / p. 49-58 : ill
  • Build-a-Thon Energy ehk Riistvarahäkaton, mis panustab paremasse homsesseMossin, EneliStudioosus2024 / lk. 26-27 : fot https://www.ester.ee/record=b1558644*est
  • CAC 2.0 : a corrupt and correct logic locking technique resilient to structural analysis attacksAksoy, Levent; Yasin, Muhammad; Pagliarini, Samuel NascimentoarXiv.org2024 / 6 p. : ill https://doi.org/10.48550/arXiv.2401.07142
  • CAC 2.0 : a corrupt and correct logic locking technique resilient to structural analysis attacksAksoy, Levent; Yasin, Muhammad; Pagliarini, Samuel Nascimento2024 IEEE 25th Latin American Test Symposium (LATS) : 9-12 April 2024 (2024)2024 https://doi.org/10.1109/LATS62223.2024.10534592 https://www.scopus.com/record/display.uri?eid=2-s2.0-85195396928&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FLATS62223.2024.10534592%29&sessionSearchId=d348ee9118c8b84895bd9936517e9e5c&relpos=0
  • Calculation of LFSR seed and polynomial pair for BIST applicationsJutman, Artur; Tšertov, Anton; Ubar, Raimund-JohannesInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK kolmanda aastakonverentsi artiklite kogumik : 25.-26. aprill 2008, Voore külalistemaja2008 / p. 105-108 : ill
  • Can 3D printing bring droplet microfluidics to every lab? - A systematic reviewGyimah, Nafisat; Scheler, Ott; Rang, Toomas; Pardy, TamasMicromachines2021 / art. 339 https://doi.org/10.3390/mi12030339 https://www.scopus.com/sourceid/21100229176 https://www.scopus.com/record/display.uri?eid=2-s2.0-85103520813&origin=inward&txGid=da5b1d01d12e44859015a09b317ff4a3 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MICROMACHINES-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000633887600001
  • Can a HW development and research environment be convenient, scalable and free? zamiaCAD : open-source platform for hardware design and analysis : [invited talk]Jenihhin, MaksimProceedings of IEEE East-West Design & Test Symposium (EWDTS’2012) : Kharkov, Ukraine, September 14–17, 20122012 / p. 548-549
  • Capillary electrophoresis as a monitoring tool for flow composition determinationKaljurand, Mihkel; Saar-Reismaa, Piret; Vaher, Merike; Gorbatšova, Jelena; Mazina-Šinkar, JekaterinaMolecules2021 / art. 4918, 12 p. : ill https://doi.org/10.3390/molecules26164918 https://www.scopus.com/sourceid/26370 https://www.scopus.com/record/display.uri?eid=2-s2.0-85112694966&origin=inward&txGid=39867414bd32be15cb516c9240d04fca https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MOLECULES&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000690162600001
  • CeleronToomsalu, ArvoArvutimaailm1998 / 7, lk. 47-48 https://artiklid.elnet.ee/record=b2003517*est
  • Choosing an expert system shellTepandi, Jaak; Parmakson, PriitData processing, compiler writing, problems of programming1989 / p. 28-32
  • Clock manipulation for heterogeneous emulation environmentEllervee, Peeter; Arhipov, Anton; Tammemäe, KalleProceedings [of] 24th IEEE Norchip Conference : Linköping, Sweden, 20-21 November 20062006 / p. 213-216 : ill https://ieeexplore.ieee.org/abstract/document/4126984
  • Compact smart home systems : design and verification of cost effective hardware solutionsKuusik, Alar2001 http://www.ester.ee/record=b1622134*est
  • Compact smart home systems : design and verification of cost effective hardware solutionsKuusik, Alar2001 http://www.ester.ee/record=b1578112*est
  • Control intensive digital system synthesisTammemäe, Kalle1997 http://www.ester.ee/record=b1060033*est
  • Cost-effective concurrent hardware checkers for network on chip based system on chip = Kulutõhusad süsteemiga paralleelsed rikkemonitorid kiipvõrkudel põhinevatele kiipsüsteemideleHariharan, Ranganathan2019 https://digi.lib.ttu.ee/i/?12854 https://www.ester.ee/record=b5243161*est
  • Cost-effective fault tolerance for CNNs using parameter vulnerability based hardening and pruningAhmadilivani, Mohammad Hasan; Mousavi, Seyedhamidreza; Raik, Jaan; Daneshtalab, Masoud; Jenihhin, Maksim2024 IEEE 30th International Symposium on On-line Testing and Robust System Design (IOLTS) : IOLTS 2024 : July 03rd-05th 2024, Rennes, Brittany, France2024 / 7 p https://doi.org/10.1109/IOLTS60994.2024.10616072 https://www.scopus.com/record/display.uri?eid=2-s2.0-85201385252&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FIOLTS60994.2024.10616072%29&sessionSearchId=82ea1e262e947a553c0dfd040e2059dd&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001293143000020
  • Cross-layer dependability management in network on chip based system on chip = Kiipvõrkudel põhinevate süsteemide kihtideülene usaldatavuse haldusAzad, Siavoosh Payandeh2018 https://digi.lib.ttu.ee/i/?9948 https://www.ester.ee/record=b5056143*est
  • Databases and Information Systems : 14th International Baltic Conference, DB&IS 2020, Tallinn, Estonia, June 16-19, 2020 : Proceedings2020 https://doi.org/10.1007/978-3-030-57672-1
  • Data-driven cross-layer fault management architecture for sensor networksVihman, Lauri; Kruusmaa, Maarja; Raik, Jaan16th European Dependable Computing Conference : EDCC 2020 : Virtual Conference, Munich, Germany, 7-10 September 2020 : proceedings2020 / art. 20094188, p. 33-40 https://doi.org/10.1109/EDCC51268.2020.00015
  • DeepVigor: VulnerabIlity Value RanGes and FactORs for DNNs’ Reliability AssessmentAhmadilivani, Mohammad Hasan; Taheri, Mahdi; Raik, Jaan; Daneshtalab, Masoud; Jenihhin, MaksimIEEE European Test Symposium (ETS) : Venice, Italy, 22-26 May 2023 : proceedings2023 / 6 p. : ill https://doi.org/10.1109/ETS56758.2023.10174133
  • Determined-safe faults identification : a step towards ISO26262 hardware compliant designsAugusto da Silva, Felipe; Bagbaba, Ahmet Cagri; Sartoni, Sandro; Cantoro, Riccardo; Sonza Reorda, Matteo; Hamdioui, Said; Sauer, Christian2020 25th IEEE European Test Symposium (ETS)2020 / 6 p. : ill https://doi.org/10.1109/ETS48528.2020.9131568
  • Development of a power electronics controller with RISC-V based core for security-critical applicationsSwakath, S. U.; Kshirsagar, Abhijit; Kondepu, Koteswararao; Banavath, Satish Naik; Chub, Andrii; Vinnikov, Dmitri2022 IEEE 63th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON): conference proceedings2022 / p. 1-5 https://doi.org/10.1109/RTUCON56726.2022.9978737
  • DICE - an interactive approach to hardware/software co-design of heterogeneous real-time systemsHollstein, Thomas; Becker, J.; Kirschbaum, A.; Renner, F.-M.; Glesner, M.BEC'98 : the 6th Biennial Conference on Electronics and Microsystems Technology, October 7-9, 1998, Tallinn, Estonia : proceedings1998 / p. 97-100
  • Digitaalarvutite operatsioonelemendid ja aritmeetikaseadmed : õppevahendMägi, Harri1978 https://www.ester.ee/record=b1274927*est
  • Digitaalsüsteemide diagnostikaUbar, Raimund-Johannes2005 http://www.ester.ee/record=b2097071*est
  • Digital hardware organization course for SoC programEllervee, Peeter; Tenhunen, Hannu2001 International Conference on Microelectronic Systems Education : June 17-18, 2001, Las Vegas, Nevada, USA : proceedings2001 / p. 26-27 https://ieeexplore.ieee.org/document/932402
  • Division Method And CircuitPatankar, Udayan Sunil; Koel, Ants; Patankar, Sunil; Flores, Miguel E.2022 https://www.wipo.int
  • Eesti aparaadiehituse osa seismoloogia riistvara arengusHendre, EnnInsenerikultuur Eestis. 31997 / lk. 19-27: ill https://www.ester.ee/record=b1063622*est
  • Eestis ainulaadne TalTechi õppekava liidab kokku riistvara arenduse ja programmeerimisedelfi.ee2024 https://arileht.delfi.ee/artikkel/120286603/eestis-ainulaadne-taltechi-oppekava-liidab-kokku-riistvara-arenduse-ja-programmeerimise
  • An efficient analog convolutional neural network hardware accelerator enabled by a novel memoryless architecture for insect-sized robotsDadras, Iman; Ahmadilivani, Mohammad Hasan; Banerji, Saoni; Raik, Jaan; Abloo, Alvo2022 11th International Conference on Modern Circuits and Systems Technologies (MOCAST) : Bremen, Germany : 08-10 June 20222022 / p. 1-6 https://doi.org/10.1109/MOCAST54814.2022.9837551
  • Efficient Deep Learning Model Optimization for Resource Constrained Devices = Tõhus süvaõppe mudeli optimeerimine piiratud ressurssidega seadmete jaoksAdemola, Olutosin Ajibola2025 https://www.ester.ee/record=b5750529*est https://digikogu.taltech.ee/et/Item/183f6305-2924-4e30-a7cc-d06ac86581b8 https://doi.org/10.23658/taltech.37/2025
  • An efficient non-inverting buck-boost converter with improved step up/down abilityAbdelrahim Abdelghafour, Omar Mohamed; Chub, Andrii; Blinov, Andrei; Vinnikov, Dmitri; Peftitsis, DimosthenisEnergies2022 / art. 4550 https://doi.org/10.3390/en15134550 https://www.scopus.com/sourceid/62932 https://www.scopus.com/record/display.uri?eid=2-s2.0-85133224908&origin=inward&txGid=a296f108b5b1ecbd308b03e9f3f6ba1f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENERGIES&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000824199300001
  • EFIC-ME : a fast emulation based fault injection control and monitoring enhancementAbideen, Zain Ul; Rashid, Muhammad HaroonIEEE Access2020 / p. 207705-207716 https://doi.org/10.1109/ACCESS.2020.3038198 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85097356103&origin=inward&txGid=2f1165cb9a49c99935e3d326b2af989d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000595015400001
  • Elektroonikaettevõte premeerib kahte IT-tudengit 2500-eurose stipendiumiga [Võrguväljaanne]goodnews.ee2021 https://eestielu.goodnews.ee/elektroonikaettevote-premeerib-kahte-it-tudengit-2500-eurose-stipendiumiga/?
  • Elliptic-curve crypto processor for RFID applicationsRashid, Muhammad; Jamal, Sajjad Shaukat; Khan, Sikandar Zulqarnain; Alharbi, Adel R.; Aljaedi, Amer; Imran, MalikApplied Sciences (Switzerland)2021 / art. 7079 https://doi.org/10.3390/app11157079 https://www.scopus.com/sourceid/21100829268 https://www.scopus.com/record/display.uri?eid=2-s2.0-85112666228&origin=resultslist&sort=plf-f&src=s&sid=c5fbe1d759bf21282dc69b96619c9ecf&sot=b&sdt=b&s=DOI%2810.3390%2Fapp11157079%29&sl=31&sessionSearchId=c5fbe1d759bf21282dc69b96619c9ecf&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=APPL%20SCI-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000681948400001
  • Enhancing assertion-based verification in hardware designs through data mining algorithms = Andmekaeve algoritmide kasutamine riistvarasüsteemide väidete-põhise verifitseerimise parendamiseksHeidari Iman, Mohammad Reza2024 https://digikogu.taltech.ee/et/Item/622f3660-e890-454d-aa85-7cc505557f77 https://doi.org/10.23658/taltech.37/2024 https://www.ester.ee/record=b5694015*est
  • Environmentally adaptive fish or no-fish classification for river video fish counters using high-performance desktop and embedded hardwareSoom, Jürgen; Pattanaik, Vishwajeet; Leier, Mairo; Tuhtan, Jeffrey AndrewEcological Informatics2022 / art. 101817, 14 p. : ill https://doi.org/10.1016/j.ecoinf.2022.101817 https://www.scopus.com/sourceid/3100147401 https://www.scopus.com/record/display.uri?eid=2-s2.0-85139842818&origin=inward&txGid=73d1ca477c3f00300de67571848f7f0b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ECOL%20INFORM&year=2021 https://www.webofscience.com/wos/woscc/full-record/WOS:000879197500001
  • Evaluating architectural, redundancy, and implementation strategies for radiation hardening of FinFET integrated circuitsPagliarini, Samuel Nascimento; Benites, Luis; Martins, Mayler; Rech, Paolo; Kastensmidt, FernandaIEEE transactions on nuclear science2021 / p. 1045-1053 https://doi.org/10.1109/TNS.2021.3070643 https://www.scopus.com/sourceid/17368 https://www.scopus.com/record/display.uri?eid=2-s2.0-85103797089&origin=inward&txGid=6c92c2fbb6cf4232257945f91e1079ba https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20NUCL%20SCI&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000655537500073
  • Evaluating fault emulation on FPGAEllervee, Peeter; Raik, Jaan; Tihhomirov, Valentin; Tammemäe, KalleField-Programmable Logic and Applications : 14th International Conference, FPL 2004 : Antwerp, Belgium, August 30-September 1, 2004 : proceedings2004 / p. 354-363 : ill https://link.springer.com/chapter/10.1007/978-3-540-30117-2_37
  • Evaluating NTT/INTT implementation styles for post-quantum cryptographyImran, Malik; Khan, Safiullah; Khalid, Ayesha; Rafferty, Ciara; Ali Shah, Yasir; Pagliarini, Samuel; Rashid, Muhammad; O'Neill, MaireIEEE Embedded Systems Letters2024 / p. 485 - 488 https://doi.org/10.1109/LES.2024.3410516 https://www.scopus.com/sourceid/19700177026 https://www.scopus.com/pages/publications/85195426343?inward https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20EMBED%20SYST%20LETT&year=2024 https://www.webofscience.com/wos/woscc/full-record/WOS:001373826700003
  • Evaluation of deep neural network compression methods for edge devices using weighted score-based ranking schemeAdemola, Olutosin Ajibola; Leier, Mairo; Petlenkov, EduardSensors2021 / art. 7529 https://doi.org/10.3390/s21227529 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85118875058&origin=inward&txGid=ed69db608154cb1f01bc4425568924a7 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000725934100001
  • Experience in increase of practical hours for HDL courseReinsalu, Uljana; Ellervee, Peeter2011 International Conference on Microelectronic Systems Education (MSE '11), 5-6 June 2011, San Diego, California2011 / p. 102-105 https://ieeexplore.ieee.org/document/5937104
  • Exploiting data transfer locality in memory mappingEllervee, Peeter; Miranda, Miguel; Catthoor, Francky; Hemani, Ahmed25th EUROMICRO conference : Informatics : Theory and Practice for the New Millennium : Milan, Italy, September 8-10, 1999 : proceedings. Volume I1999 / p. 14-21 : ill https://ieeexplore.ieee.org/document/793132
  • Exploration of Activation Fault Reliability in Quantized Systolic Array-Based DNN AcceleratorsTaheri, Mahdi; Cherezova, Natalia; Ansari, Mohammad Saeed; Jenihhin, Maksim; Mahani, Ali; Daneshtalab, Masoud; Raik, Jaan25th International Symposium on Quality Electronic Design (ISQED)2025 / 8 p. : ill https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=10528372
  • Fast iterative circuits and RAM-based mergers to accelerate data sort in software/hardware systemsSklyarov, Valery; Skliarova, Iouliia; Rjabov, Artjom; Sudnitsõn, AleksanderProceedings of the Estonian Academy of Sciences2017 / p. 323-335 : ill https://doi.org/10.3176/proc.2017.3.07 http://www.ester.ee/record=b2355998*est https://www.scopus.com/sourceid/11500153303 https://www.scopus.com/record/display.uri?eid=2-s2.0-85028310572&origin=inward&txGid=f24c7d9e5835bb4d317ad67057afae88 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=P%20EST%20ACAD%20SCI&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000408394600009
  • Fault detection and protection system for the power converters with high-voltage IGBTs [Electronic resource]Vinnikov, Dmitri; Roasto, Indrek; Lehtla, TõnuICECS 2008 : The 15th IEEE International Conference on Electronics, Circuits and Systems : August 31 - September 3, 2008, Malta2008 / p. 922-925 : ill. [CD-ROM] https://ieeexplore.ieee.org/document/4675005
  • Fault simulation and code coverage analysis of RTL designs using high-level decision diagrams = Rikete simuleerimine ja koodikatte analüüs register-siirde tasemel kasutades kõrgtaseme otsustusdiagrammeReinsalu, Uljana2013 https://www.ester.ee/record=b2963595*est
  • A fault-resistant architecture for AES S-box architectureTaheri, Mahdi; Sheikhpour, Saeideh; Ansari, Mohammad Saeed; Mahani, AliJournal of Applied Research in Electrical Engineering2021 / p. 86-92 https://doi.org/10.22055/jaree.2021.36230.1020
  • Feature article: Firmware updating systems for nanosatellitesSünter, Indrek; Slavinskis, Andris; Kvell, Urmas; Vahter, Andres; Kuuste, Henri; Noorma, Mart; Kutt, Johan; Vendt, Riho; Tarbe, Karl; Pajusalu, Mihkel; Veske, Mihkel; Ilves, TaaviIEEE Aerospace and Electronic Systems Magazine2016 / p. 36 - 44 https://doi.org/10.1109/MAES.2016.150162 https://www.scopus.com/sourceid/25993 https://www.scopus.com/record/display.uri?eid=2-s2.0-84976884548&origin=resultslist&sort=plf-f&src=s&sid=ec6ceae7563257d48689ec2672e7747a&sot=b&sdt=b&s=DOI%2810.1109%2FMAES.2016.150162%29&sl=29&sessionSearchId=ec6ceae7563257d48689ec2672e7747a&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20AERO%20EL%20SYS%20MAG&year=2016 https://www.webofscience.com/wos/woscc/full-record/WOS:000379701600005
  • Four years of System-on-Chip curriculaKruus, Margus; Ellervee, PeeterEWME 2006 proceedings : 6th International Workshop on Microelectronics Education : 8-9 June, 2006, Stockholm, Sweden2006 / p. 88-91
  • FPGA-based fault emulation of synchronous sequential circuitsEllervee, Peeter; Raik, Jaan; Tammemäe, Kalle; Ubar, Raimund-JohannesIET computers and digital techniques2007 / 2, p. 70-76 : ill https://ieeexplore.ieee.org/abstract/document/1423822
  • From RTL liveness assertions to cost-effective hardware checkersHariharan, Ranganathan; Ghasempouri, Tara; Niazmand, Behrad; Raik, JaanXXXIII Conference on Design of Circuits and Integrated Systems (DCIS) : proceedings2018 / 6 p. : ill https://doi.org/10.1109/DCIS.2018.8681487
  • Fully-fusible convolutional neural networks for end-to-end fused architecture with FPGA implementationDadras, Iman; Seydi, Sakineh; Ahmadilivani, Mohammad Hasan; Raik, Jaan; Salehi, Mostafa E.2023 30th IEEE International Conference on Electronics, Circuits and Systems (ICECS)2023 / 5 p. https://doi.org/10.1109/ICECS58634.2023.10382831
  • Gait analysis based approach for Parkinson's disease modeling with decision tree classifiersKrajuškina, Anna; Nõmm, Sven; Toomela, Aaro2018 IEEE International Conference on Systems, Man, and Cybernetics : SMC 2018, 7–10 October 2018 Miyazaki, Japan2018 / p. 3720-3725 : ill https://doi.org/10.1109/SMC.2018.00630
  • A generic scheme for communication representation and mappingMeincke, Thomas; Jantsch, Axel; Ellervee, Peeter; Hemani, Ahmed; Tenhunen, Hannu17th NORCHIP Conference : Oslo, Norway, 8-9 November 1999 : proceedings1999 / p. 334-339 : ill https://www.researchgate.net/publication/2598862_A_Generic_Scheme_for_Communication_Representation_and_Mapping
  • Gert Jervan: TalTechi uurimisrühma tulemusel on Eesti kui digiriigi eestvedaja paremini kaitstudKald, Indrekituudised.ee2023 https://www.ituudised.ee/uudised/2023/11/24/gert-jervan-taltechi-uurimisruhma-tulemusel-on-eesti-kui-digiriigi-eestvedaja-paremini-kaitstud
  • Google'i klastri arhitektuurSullin, AntiA & A2006 / 6, lk. 9-17 https://artiklid.elnet.ee/record=b1019894*est
  • Hardware and software for expert and predictive estimations of ecological loadsShyshkin, A.I.; Zhukov, K.G.; Svytin, V.P.Theses of the reports of the VIII Symposium Concerning the Problems of Waterbodies Water Quality, Tallinn, Oct. 23-25, 19901990 / p. 71-72
  • Hardware implementation of face recognition using low precision representationDwivedi, Sai Kumar; Azad, Siavoosh Payandeh; Ellervee, Peeter; Dash, RatnakarBEC 2016 : 2016 15th Biennial Baltic Electronics Conference : proceedings of the 15th Biennial Baltic Electronics Conference : Tallinn University of Technology, October 3-5, 2016, Tallinn, Estonia2016 / p. 63-66 : ill http://www.ester.ee/record=b2150914*est
  • Hardware implementation of recursive algorithmsMihhailov, Dmitri; Sklyarov, Valery; Skliarova, Iouliia; Sudnitsõn, Aleksander53rd IEEE International Midwest Symposium on Circuits and Systems : Seattle, Washington, USA, August 1-4, 2010 : proceedings2010 / p. 225-228 https://ieeexplore.ieee.org/document/5548674
  • Hardware implementation of recursive sorting algorithmsMihhailov, Dmitri; Sklyarov, Valery; Skliarova, Iouliia; Sudnitsõn, Aleksander2011 International Conference on Electronic Devices, Systems and Applications (ICEDSA) : Kuala Lumpur, Malaysia, April 25-27, 2011 : [proceedings]2011 / p. 33-38 : ill https://ieeexplore.ieee.org/document/5959040
  • Hardware implementation of recursive sorting algorithms using tree-like structures and HFSM models = Rekursiivsete sortimisalgoritmide riistvaraline realiseerimine kasutades puulaadseid struktuure ja HFSM mudeleidMihhailov, Dmitri2011 https://www.ester.ee/record=b2748823*est
  • Hardware in EstoniaKilvits, KaarelIndustrial restructuring and economic recovery in the Baltic Countries - Lithuania, Latvia, Estonia : infrastructure policies for sustained growth in the Baltic Countries : final report1998 / p. 650-665
  • Hardware modeling for design verification and debug = Riistvara modelleerimine disaini verifitseerimise ja silumise jaoksTšepurov, Anton2013 https://www.ester.ee/record=b2963501*est
  • Hardware obfuscation of digital FIR filtersAksoy, Levent; Hepp, Alexander; Baehr, Johanna; Pagliarini, Samuel Nascimento2022 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS) : Prague, Czech Republic : April 6-8, 2022 : proceedings2022 / p. 68-73 https://doi.org/10.48550/arXiv.2202.10022 https://doi.org/10.1109/DDECS54261.2022.9770141
  • Hardware realization of lattice-based post-quantum cryptography = Võrel põhinev post-kvant-krüptograafia riistvaraline realisatsioonImran, Malik2023 https://www.ester.ee/record=b5571216*est https://doi.org/10.23658/taltech.33/2023 https://digikogu.taltech.ee/et/Item/75aeb070-cb8b-4511-beaf-cbea3fca147d https://www.ester.ee/record=b5571216*est
  • Hardware trojan insertion in finalized layouts : from methodology to a silicon demonstrationPerez, Tiago Diadami; Pagliarini, Samuel NascimentoIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems2023 / p. 2094-2107 https://doi.org/10.1109/TCAD.2022.3223846 https://www.scopus.com/sourceid/27724 https://www.scopus.com/record/display.uri?eid=2-s2.0-85144011739&origin=resultslist&sort=plf-f&src=s&sid=f6bea21f940b112407e8b3b930cd5a56&sot=b&sdt=b&s=DOI%2810.1109%2FTCAD.2022.3223846%29&sl=141&sessionSearchId=f6bea21f940b112407e8b3b930cd5a56 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20COMPUT%20AID%20D&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:001017411600002
  • Hardware Trojans for confidence reduction and misclassifications on neural networksGrailoo, Mahdieh; Leier, Mairo; Pagliarini, Samuel NascimentoProceedings Of The Twenty Third International Symposium On Quality Electronic Design (ISQED 2022)2022 / art. 180541, p. 230-235 https://doi.org/10.1109/ISQED54688.2022.9806246
  • Hardware/software co-design : state-of-the-art and future directionsGlesner, Manfred; Hollstein, Thomas; Gasteier, Michael; Münch, MichaelBEC'96 : the 5th Biennial Baltic Electronics Conference, October 7-11, 1996, Tallinn, Estonia : proceedings1996 / p. 9-16: ill
  • Hardware/Software co-design in practice : MEMOCODE'08 contest experienceReinsalu, Uljana; Devadze, Sergei; Jutman, Artur; Tšertov, Anton; Ellervee, PeeterInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK kolmanda aastakonverentsi artiklite kogumik : 25.-26. aprill 2008, Voore külalistemaja2008 / p. 55-58 : ill
  • Hardware-based systems for partial sorting of streaming dataRjabov, ArtjomBEC 2016 : 2016 15th Biennial Baltic Electronics Conference : proceedings of the 15th Biennial Baltic Electronics Conference : Tallinn University of Technology, October 3-5, 2016, Tallinn, Estonia2016 / p. 59-62 : ill http://www.ester.ee/record=b2150914*est
  • HDL-s for students with different backgroundReinsalu, Uljana; Arhipov, Anton; Evartson, Teet; Ellervee, PeeterProceedings MSE 2007 : 2007 IEEE International Conference on Microelectronic Systems Education : 3-4 June 2007, San Diego, CA2007 / p. 69-70 https://ieeexplore.ieee.org/document/4231454
  • Heterogeneous Approximation of DNN HW Accelerators based on Channels VulnerabilityCherezova, Natalia; Pappalardo, Salvatore; Taheri, Mahdi; Ahmadilivani, Mohammad Hasan; Deveautour, Bastien; Bosio, Alberto; Raik, Jaan; Jenihhin, Maksim2024 IFIP/IEEE 32nd International Conference on Very Large Scale Integration (VLSI-SoC)2024 / 4 p. : ill https://doi.org//10.1109/VLSI-SoC62099.2024.10767798
  • High-level decision diagram simulation for diagnosis and soft-error analysisRaik, Jaan; Repinski, Urmas; Jenihhin, Maksim; Chepurov, AntonDesign and test technology for dependable systems-on-chip2011 / p. 294-309 : ill https://www.igi-global.com/chapter/high-level-decision-diagram-simulation/51406
  • High-level fault diagnosis in RISC processors with Implementation-Independent Functional TestOyeniran, Adeboye Stephen; Jenihhin, Maksim; Raik, Jaan; Ubar, Raimund-Johannes2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI) : Nicosia, Cyprus : 04-06 July 20222022 / p. 32-37 https://doi.org/10.1109/ISVLSI54635.2022.00019
  • High-level intellectual property obfuscation via decoy constantsAksoy, Levent; Nguyen, Quang-Linh; Almeida, Felipe; Raik, Jaan; Flottes, Marie-Lise; Dupuis, Sophie; Pagliarini, Samuel Nascimento2021 IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS) : Torino, Italy, 28-30 June 20212021 / p. 1-7 https://doi.org/10.1109/IOLTS52814.2021.9486714
  • High-performance hardware accelerators for sorting and managing prioritiesSklyarov, Valery; Skliarova, Iouliia; Mihhailov, Dmitri; Sudnitsõn, AleksanderProceedings of the 2011 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems : April 13-15, 2011, Gottbus, Germany2011 / p. 313-318 : ill https://ieeexplore.ieee.org/document/5783103
  • High-speed design of postquantum cryptography with optimized hashing and multiplicationImran, Malik; Aikata, Aikata; Roy, Sujoy Sinha; Pagliarini, Samuel NascimentoIEEE Transactions on Circuits and Systems II : Express Briefs2023 / p. 847-851 : ill https://doi.org//10.1109/TCSII.2023.3273821
  • A HW/SW partitioning technique based on hierarchical canitate selection schemeJantsch, Axel; Ellervee, Peeter; Öberg, Johnny; Hemani, Ahmed7th International Workshop on High-level Synthesis, Niagara-on-the Lake, Ontario, Canada, May 18-20, 19941994
  • A hybrid BIST architecture and its optimization for SoC testingJervan, Gert; Peng, Zebo; Ubar, Raimund-Johannes; Kruus, HelenaProceedings of the 3rd International Symposium on Quality Electronic Design : ISQED 2002, March 18-21, 2002, San Jose, California2002 / p. 273-279 : ill https://ieeexplore.ieee.org/document/996750
  • Hybrid protection of digital FIR filtersAksoy, Levent; Nguyen, Quang-Linh; Almeida, Felipe; Raik, Jaan; Flottes, Marie-Lise; Dupuis, Sophie; Pagliarini, Samuel NascimentoIEEE transactions on Very Large Scale Integration (VLSI) Systems2023 / p. 812-825 : ill https://doi.org/10.1109/TVLSI.2023.3253641 https://www.scopus.com/sourceid/12300 https://www.scopus.com/record/display.uri?eid=2-s2.0-85151356738&origin=inward&txGid=2cbf13db49fa5db5d3e09767a51c47df https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20VLSI%20SYST&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000953458300001
  • IBM kinkis TTÜle tarkvara, Coca-Cola serveriMente et Manu2005 / lk. 4 https://www.ester.ee/record=b1242496*est
  • IMMizer : an innovative cost-effective method for minimizing assertion setsHeidari Iman, Mohammad Reza; Raik, Jaan; Jervan, Gert; Ghasempouri, Tara2022 25th Euromicro Conference on Digital System Design (DSD) : Maspalomas, Spain 2022 : proceedings2022 / p. 671 - 678 https://doi.org/10.1109/DSD57027.2022.00095 https://www.scopus.com/record/display.uri?eid=2-s2.0-85146731802&origin=resultslist&sort=plf-f&src=s&sid=3b42bc15325c13282d3a40f32ea9fcd1&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22immizer%3A+an+innovative%22%29&sl=34&sessionSearchId=3b42bc15325c13282d3a40f32ea9fcd1 https://www.webofscience.com/wos/woscc/full-record/WOS:000946536500080
  • Impact of orientation on the bias of SRAM-based PUFsAbideen, Zain Ul; Wang, Rui; Perez, Tiago Diadami; Schrijen, Geert-Jan; Pagliarini, Samuel NascimentoIEEE design & test2024 / p. 14-20 https://doi.org/10.1109/MDAT.2023.3322621 https://www.scopus.com/sourceid/21100286806 https://www.scopus.com/pages/publications/85174806397?inward https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20DES%20TEST&year=2024 https://www.webofscience.com/wos/woscc/full-record/WOS:001209578000006
  • Implementation of sorting algorithms in reconfigurable hardwareSkliarova, Iouliia; Sklyarov, Valery; Mihhailov, Dmitri; Sudnitsõn, Aleksander2012 IEEE Mediterranean Electrotechnical Conference (MELECON 2012) : Yasmine Hammamet, Tunisia, March 25-28, 20122012 / p. 107-110 : ill https://ieeexplore.ieee.org/document/6196391
  • Implementation-independent test generation for a large class of faults in RISC processor modulesJenihhin, Maksim; Oyeniran, Adeboye Stephen; Raik, Jaan; Ubar, Raimund-Johannes24th Euromicro Conference on Digital System Design (DSD)2021 https://doi.org/10.1109/DSD53832.2021.00090
  • An improved implementation of shift displacement method on hardware —comprehensive evaluation of emerging bi-pedal techniquesAbideen, Zain Ul; Tariq, Hassan; Hafeez, Muhammad Asfand; Subhani, Zahid Mehmood2020 4th International Conference on Automation, Control and Robots : Rome, Italy, 11-13 October 20202020 / p. 7-12 : ill https://doi.org/10.1109/ICACR51161.2020.9265496
  • Infotehnoloogia. Sõnastik. Osa 3, AparatuuritehnikaHanson, Vello; Agur, Ustus; Kalja, Ahto; Võhandu, Leo1999 https://www.ester.ee/record=b1708557*est
  • Infotehnoloogia. Sõnastik. Osa 11, TöötlusseadmedHanson, Vello; Agur, Ustus; Kalja, Ahto; Võhandu, Leo1999 https://www.ester.ee/record=b1211017*est
  • Introduction to the IOT (Internet of Things) : coursebookSell, Raivo; Puks, Rim; Kingsepp, Mallor; Nikitenko, Agris; Berkolds, Karlis; Vagale, Anete; Rumba, Rudolfs; Czekalski, Piotr; Tokarz, Krzysztof; Läll, Karl2025 https://ebooks.rtu.lv/product/introduction-to-the-iot-second-edition/?lang=en#tab-id-1
  • Jini tehnoloogiastKüngas, PeepArvutimaailm2000 / 8, lk. 45 https://artiklid.elnet.ee/record=b1004987*est
  • Kaks uut unikaalset TalTechi magistrikava hakkavad valmistama ette tippspetsialiste strateegiliselt tähtsale IKT valdkonnaledigi.geenius.ee2023 https://digi.geenius.ee/blogi/teadus-ja-tulevik/kaks-uut-unikaalset-taltechi-magistrikava-hakkavad-valmistama-ette-tippspetsialiste-strateegiliselt-tahtsale-ikt-valdkonnale/
  • Kas sind huvitab, mis toimub “kapoti all”? TalTechi magistriõpe avab tehnoloogia telgitaguseddigi.geenius.ee2025 https://digi.geenius.ee/blogi/teadus-ja-tulevik/kas-sind-huvitab-mis-toimub-kapoti-all-taltechi-magistriope-avab-tehnoloogia-telgitagused/
  • Keynote: cost-efficient reliability for Edge-AI chipsJenihhin, Maksim; Taheri, Mahdi; Cherezova, Natalia; Ahmadilivani, Mohammad Hasan; Selg, Hardi; Jutman, Artur; Shibin, Konstantin; Tsertov, Anton; Devadze, Sergei; Kodamanchili, Rama Mounika; Rafiq, Ahsan; Raik, Jaan; Daneshtalab, Masoud2024 IEEE 25th Latin American Test Symposium (LATS)2024 / 2 p https://doi.org/10.1109/LATS62223.2024.10534610 https://www.scopus.com/record/display.uri?eid=2-s2.0-85195425788&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FLATS62223.2024.10534610%29&sessionSearchId=03854897c8e6e7bbc8ffad5b01ef8afb&relpos=0
  • Kontekstilülitus tark- ja riistvarasTammemäe, KalleA & A2002 / 3, lk. 14-21 : ill
  • Kontseptuaalse programmeerimise vahenditestEomois, PeepArvutustehnika ja Andmetöötlus : A&A1989 / lk. 1-8 : joon., tab https://www.ester.ee/record=b1071940*est
  • Kuidas osta personaalarvutit? : ostujuhiseidLaiatarbe tarkvarapaketid personaalarvutitele. Elementaarkasutusõpetus ja personaalse info korraldajad1989 / lk. 92-101 https://www.ester.ee/record=b1294951*est
  • Latest trends in hardware security and privacyDi Natale, Giorgio; Regazzoni, Francesco; Albanese, Vincent; Lhermet, Frank; Loisel, Yann; Sensaoui, Abderrahmane; Pagliarini, Samuel Nascimento33rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) : ESA-ESRIN, Italy (On-line Virtual Event),October 19–21, 20202020 / 4 p. : ill https://doi.org/10.1109/DFT50435.2020.9250816
  • Leveraging FPGA Reconfigurability as an Obfuscation Asset = FPGA ümberkonfigureeritavuse rakendamine hägustamise vahendinaAbideen, Zain Ul2024 https://digikogu.taltech.ee/et/Item/660d923b-44d2-4993-898f-324ab2088199 https://www.ester.ee/record=b5649944*est https://doi.org/10.23658/taltech.1/2024
  • Leveraging layout-based effects for locking analog ICsAljafar, Muayad J.; Azais, Florence; Flottes, Marie-Lise; Pagliarini, Samuel NascimentoASHES'22: Proceedings of the 2022 Workshop on Attacks and Solutions in Hardware Security2022 / p. 5-13 https://doi.org/10.1145/3560834.3563826
  • Low-cost open-source flow velocity sensor for droplet generatorsPrabatama, Nicky Andre; Jõemaa, Rauno; Hegedus, Kristof; Pardy, Tamas2022 18th Biennial Baltic Electronics Conference (BEC)2022 / p. 1-4 https://doi.org/10.1109/BEC56180.2022.9935606
  • Machine learning clustering techniques for selective mitigation of critical design featuresLange, Thomas; Balakrishnan, Aneesh; Glorieux, Maximilien; Alexandrescu, Dan; Sterpone, LucaProceedings : 2020 26th IEEE International Symposium on On-Line Testing and Robust System Design : IOLTS 2020, Napoli, Italy, July 13-16, 2020 : virtual edition2020 / 7 p. : ill https://doi.org/10.1109/IOLTS50870.2020.9159751
  • Mapping the types of modularity in open-source hardwareGavras, Kosmas; Kostakis, VasileiosDesign Science2021 / e13 https://doi.org/10.1017/dsj.2021.11 https://www.scopus.com/sourceid/21100889860 https://www.scopus.com/record/display.uri?eid=2-s2.0-85133753823&origin=inward&txGid=2bf013b218fa0553dcfbe5d9aa604f93 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=DES%20SCI&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000661175700001
  • Methods for reliability assessment and enhancement of deep neural networks hardware accelerators = Süvanärvivõrkude riistvara kiirendite töökindluse hindamine ja täiustamineTaheri, Mahdi2025 https://www.ester.ee/record=b5728368*est https://digikogu.taltech.ee/et/Item/9cf79768-17bc-44ec-a828-e4ccf6cf93f1 https://doi.org/10.23658/taltech.4/2025
  • Microprocessors and microsystems : MICPRO : embedded hardware design2010
  • Mikrokontrollerite M68HC11 ja Satt Con 05 riist- ja tarkvara : metoodiline materjalTammemäe, Kalle; Toomsalu, Arvo1995 https://www.ester.ee/record=b1069449*est
  • Mikrokontrollerite riistvara turbestToomsalu, ArvoArvutustehnika ja Andmetöötlus1997 / 7/8, lk. 7-12
  • Miniatuurne märgvara [Võrguteavik]Tammemäe, KalleArvutimaailm2018 / 14 p https://www.am.ee/margvara
  • Mis on Dhrystone ja Whetstone?Toomsalu, ArvoArvutustehnika ja Andmetöötlus1992 / 10, lk. 11-15
  • Model and Data Engineering : 10th international conference, MEDI 2021, Tallinn, Estonia, June 21–23, 2021 : proceedings2021 https://doi.org/10.1007/978-3-030-78428-7
  • Model synthesis from VHDL for the functional test generation systemKrupnova, Helena1993 https://www.ester.ee/record=b2090509*est
  • Modular approach to training hardware design for modular teaching [Electronic resource]Galkin, Ilja; Laugis, JuhanEPE-PEMC 2006 : 12th International Power Electronics and Motion Control Conference : Portorož, Slovenia, August 30 - September 1, 2006 : proceedings2006 / p. 1715-1720 : ill. [CD-ROM] https://ieeexplore.ieee.org/document/4778652
  • Moore'i seadus ja tehnoloogia arengToomsalu, ArvoArvutustehnika ja Andmetöötlus1997 / 9, lk. 3-10
  • Multimeedia ülekanne piiratud ressursside puhulKulmar, MarikaTelekommunikatsioon 2002 : IX rahvusvahelise telekommunikatsioonipäeva materjalid2002 / lk. 36-45 : ill
  • Multimeediumisüsteemide riistvaraToomsalu, ArvoArvutustehnika ja Andmetöötlus1997 / 10, lk. 7-16
  • Mutation analysis for systemC designs at TLMGuarnieri, Valerio; Bombieri, Nicola; Pravadelli, Graziano; Fummi, Franco; Hantson, Hanno; Raik, Jaan; Jenihhin, Maksim; Ubar, Raimund-Johannes12th IEEE Latin American Test Workshop (LATW) : Porto de Galinhas, Brasil, 27-30 March 20112011 / [6] p https://ieeexplore.ieee.org/document/5985925
  • Mutation-based verification and error correction in high-level designs = Mutatsioonidel põhinev verifitseerimine ja vigade parandamine kõrgtaseme skeemidesHantson, Hanno2015 https://www.ester.ee/record=b4518212*est
  • Mutations for testing hardware and correcting design errorsHantson, HannoInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK viienda aastakonverentsi artiklite kogumik : 25.-26. novembril 2011, Nelijärve2011 / p. 105-108 : ill
  • NATO kursus riist- ja tarkvara koosdisainistTammemäe, KalleArvutustehnika ja Andmetöötlus1995 / 9, lk. 23-24; 10, lk. 2-8; 11, lk. 2-7; 12, lk. 8-15
  • NeuroPIM: felxible neural accelerator for processing-in-memory architecturesBidgoli, Ali Monavari; Fattahi, Sepideh; Rezaei, Seyyed Hossein Seyyedaghaei; Modarressi, Mehdi; Daneshtalab, Masoud2023 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS) : May 3-5, 2023, Tallin, Estonia : proceedings2023 / p. 51-56 https://doi.org/10.1109/DDECS57882.2023.10139567
  • New built-in self-test scheme for SoC interconnectJutman, Artur; Ubar, Raimund-Johannes; Raik, JaanThe 9th World Multi-Conference on Systemics, Cybernetics and Informatics : WMSCI 2005 : July 10-13, 2005, Orlando, Florida, USA. Vol. IV2005 / p. 19-24 : ill https://www.researchgate.net/publication/237375234_New_Built-In_Self-Test_Scheme_for_SoC_Interconnect
  • Novel architectures for contractive autoencoders with embedded learningKerner, Madis; Tammemäe, Kalle; Raik, Jaan; Hollstein, Thomas2020 17th Biennial Baltic electronics conference, Tallinn, Estonia, October 6-8, 2020 : proceedings2020 / 6 p. : ill https://doi.org/10.1109/BEC49624.2020.9277246
  • Novel Neural Network accelerator architectures for FPGAs = Uudsed närvivõrkude kiirendite arhitektuurid FPGAdeleKerner, Madis2024 https://www.ester.ee/record=b5675484*est https://digikogu.taltech.ee/et/Item/3568fe35-19c3-43e6-9525-73c79371ab13 https://doi.org/10.23658/taltech.16/2024
  • On the reuse of TLM mutation analysis at RTLGuarnieri, Valerio; Hantson, Hanno; Raik, Jaan; Jenihhin, Maksim; Ubar, Raimund-JohannesJournal of electronic testing : theory and applications2012 / p. 435-448 : ill https://link.springer.com/article/10.1007/s10836-012-5303-6
  • On the use of defensive schemes for hardware security = Kaitseskeemid riistvara turvalisuse tagamiseksEslami, Mohammad2024 https://www.ester.ee/record=b5701420*est https://doi.org/10.23658/taltech.53/2024 https://digikogu.taltech.ee/et/Item/068530be-4810-4489-9604-fb838d298b45
  • Ontology design for automatic evaluation of web user interface usability [Electronic resource]Robal, Tarmo; Marenkov, Jevgeni; Kalja, AhtoPICMET '17 : Portland International Conference on Management of Engineering and Technology : Technology Management for the Interconnected World : proceedings2017 / p. 1-8 : ill. [USB] https://doi.org/10.23919/PICMET.2017.8125425
  • Open source hardware cost-effective imaging sensors for high-throughput droplet microfluidic systemsPärnamets, Kaiser; Koel, Ants; Pardy, Tamas; Rang, ToomasProceedings of 26th International Conference : ELECTRONICS 20222022 / 6 p https://doi.org/10.1109/IEEECONF55059.2022.9810383
  • An open surface drifter for river flow field characterizationFuentes-Pérez, Juan Francisco; Sanz-Ronda, Francisco Javier; Tuhtan, Jeffrey AndrewSensors2022 / art. nr. 9918 https://doi.org/10.3390/s22249918 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85144518739&origin=inward&txGid=1f36837ddd757397641618089ecd987a https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000904531000001
  • Open-source framework and practical considerations for translating RTL VHDL to SystemCSaif Abrar, Syed; Jenihhin, Maksim; Raik, JaanIP-SoC 2012 : IP Embedded System Conference & Exhibition : Grenoble, France, Dec. 4-5, 20122012 https://www.design-reuse.com/articles/32685/translating-rtl-vhdl-to-systemc.html
  • Open-source JTAG simulator bundle for labsShibin, Konstantin; Devadze, Sergei; Rosin, Vjatšeslav; Jutman, Artur; Ubar, Raimund-JohannesInternational journal of electronics and telecommunications2012 / p. 233-239 : ill https://journals.pan.pl/Content/87192/PDF/32.pdf
  • Optimization of recursive sorting algorithms for implementation in hardwareMihhailov, Dmitri; Sklyarov, Valery; Skliarova, Iouliia; Sudnitsõn, AleksanderProceedings of 22nd International Conference on Microelectronics (ICM 2010) : Cairo, Egypt, Dec. 19-22, 20102010 / p. 471-474 : ill https://www.researchgate.net/publication/224213497_Optimization_of_recursive_sorting_algorithms_for_implementation_in_hardware
  • An overview of FPGA-inspired obfuscation techniquesAbideen, Zain Ul; Gokulanathan, Sumathi; Aljafar, Muayad J.; Pagliarini, Samuel NascimentoarXiv.org2023 / 30 p. : ill https://doi.org/10.48550/arXiv.2305.15999
  • Parallel pseudo-exhaustive testing of array multipliers with data-controlled segmentationOyeniran, Adeboye Stephen; Azad, Siavoosh Payandeh; Ubar, Raimund-Johannes2018 IEEE International Symposium on Circuits and Systems (ISCAS) : 27-30 May 2018, Florence, Italy : proceedings2018 / 5 p.: ill https://doi.org/10.1109/ISCAS.2018.8350936 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85057101928&origin=inward&txGid=49e361500f6fe5902264fae2d90ceb95 https://www.webofscience.com/wos/woscc/full-record/WOS:000451218700050
  • PASCAL : timing SCA resistant design and verification flowLai, Xinhui; Jenihhin, Maksim; Raik, Jaan; Paul, Kolin2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS 2019) : 1-3 July 2019, Greece2019 / p. 239-242 : ill https://doi.org/10.1109/IOLTS.2019.8854458
  • Performance evaluation for FPGA-based processing of tree-like structuresSklyarov, Valery; Skliarova, Iouliia; Mihhailov, Dmitri; Sudnitsõn, Aleksander19th IEEE International Conference on Electronics, Circuits, and Systems (IEEE ICECS), Sevilla, Spain, December 9-12, 20122012 / p. 217-220 : ill https://ieeexplore.ieee.org/document/6463762
  • A pragmatic methodology for blind hardware trojan insertion in finalized layoutsHepp, Alexander; Perez, Tiago Diadami; Pagliarini, Samuel Nascimento; Sigl, GeorgICCAD '22: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design2022 / art. 69, p. 1-9 : ill https://doi.org/10.1145/3508352.3549452 https://www.scopus.com/sourceid/51882 https://www.scopus.com/record/display.uri?eid=2-s2.0-85137785373&origin=inward&txGid=b339c373005c47ea7212f2cc0bbbf3de https://www.webofscience.com/wos/woscc/full-record/WOS:000981574300068
  • PrefaceBellatreche, Ladjel; Chernishev, George; Corral, Antonio; Ouchani, Samir; Vain, JüriAdvances in Model and Data Engineering in the Digitalization Era : MEDI 2021 International Workshops : DETECT, SIAS, CSMML, BIOC, HEDA, Tallinn, Estonia, June 21–23, 2021 : proceedings2021 / p. v-vii https://link.springer.com/content/pdf/bfm:978-3-030-87657-9/1?pdf=chapter%20toc https://www.scopus.com/sourceid/17700155007 https://www.scopus.com/record/display.uri?eid=2-s2.0-85117443149&origin=inward&txGid=b80cd4b99e9759e9dca9695df9d59373
  • PrefaceAttiogbe, Christian; Ben Yahia, SadokModel and Data Engineering : 10th International Conference, MEDI 2021, Tallinn, Estonia, June 21–23, 2021 : proceedings2021 / p. v-vi https://doi.org/10.1007/978-3-030-78428-7 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85111400953&origin=inward&txGid=fa03e3302acc03efe3a751adaaf42807
  • PrefaceHollstein, Thomas; Raik, Jaan; Kostin, Sergei; Tšertov, Anton; O’Connor, Ian; Reis, RicardoVLSI-SoC: System-on-Chip in the Nanoscale Era – Design, Verification and Reliability, 24th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016 : Revised Selected Papers2017 / p. V-VI https://link.springer.com/book/10.1007/978-3-319-67104-8 https://www.scopus.com/sourceid/19400157163 https://www.scopus.com/record/display.uri?eid=2-s2.0-85029519418&origin=inward&txGid=d15d78fac69c895336e4a051ac8bafb2
  • Processing N-ary trees in hardware circuitsSklyarov, Valery; Skliarova, Iouliia; Mihhailov, Dmitri; Sudnitsõn, Aleksander13th International Symposium on Integrated Circuits (ISIC) : Singapore, 12-14 December 2011 : proceedings2011 / p. 262-265 : ill https://ieeexplore.ieee.org/document/6131946
  • Processing tree-like data structures for sorting and managing prioritiesSklyarov, Valery; Skliarova, Iouliia; Mihhailov, Dmitri; Sudnitsõn, Aleksander2011 IEEE Symposium on Computers & Informatics : ISCI 2011 : Kuala Lumpur, Malaysia, 20-23 March 20112011 / p. 322-327 https://www.researchgate.net/publication/252020117_Processing_tree-like_data_structures_for_sorting_and_managing_priorities
  • Processing tree-like data structures in different computing platformsSklyarov, Valery; Skliarova, Iouliia; Oliveira, Ramiro; Mihhailov, Dmitri; Sudnitsõn, Aleksander2011 International Conference on Information and Computer Applications (ICICA 2011) : Dubai, United Arab Emirates, March 18-20, 20112011 / p. 112-116 : ill https://sweet.ua.pt/iouliia/Papers/2011/rp025_ICICA2011-A067.pdf
  • Processor vulnerability detection with the aid of assertions : RISC-V case studyHeidari Iman, Mohammad Reza; Ahmadi-Pour, Sallar; Drechsler, Rolf; Ghasempouri, Taratechrxiv.org2024 / p. 1-8 : ill https://doi.org/10.36227/techrxiv.172101134.45466090/v1
  • Product Assurance in the Age of Artificial Intelligence2025 https://doi.org/10.4271/EPR2025011 https://saemobilus.sae.org/reports/product-assurance-age-artificial-intelligence-epr2025011
  • PV-battery assisted three-level T-Type inverter for AC residential nanogrid realized with small-scale HIL unitsGutierrez-Escalona, Javier; Roncero-Clemente, Carlos; Gonzalez-Romera, Eva; Milanes-Montero, Maria Isabel; Husev, Oleksandr; Romero-Cadaval, EnriqueIEEE Access2023 / p. 48007 - 48021 https://doi.org/10.1109/ACCESS.2023.3276235 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85160236680&origin=resultslist&sort=plf-f&src=s&sid=c7f42750891f74cfdace801ff8569180&sot=b&sdt=b&s=TITLE%28%22PV-Battery+Assisted+Three-Level+T-Type+Inverter+for+AC+Residential+Nanogrid+Realized+With+Small-Scale+HIL+Units%22%29&sl=38&sessionSearchId=c7f42750891f74cfdace801ff8569180&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001005638100001
  • Ransomware attack as Hardware Trojan : a feasibility and demonstration studyAlmeida, Felipe; Imran, Malik; Raik, Jaan; Pagliarini, Samuel NascimentoIEEE Access2022 / p. 44827-44839 https://doi.org/10.1109/ACCESS.2022.3168991 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85129163754&origin=inward&txGid=16e190db632af5d9830eb3f60cff1f2b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000790727000001
  • Rejuvenation of nanoscale logic at NBTI-critical paths using evolutionary TPGPalermo, N.; Tihhomirov, Valentin; Copetti, Thiago; Jenihhin, Maksim; Raik, Jaan; Kostin, Sergei2015 16th Latin American Test Symposium (LATS 2015) : Puerto Vallarta, Mexico, 25-27 March 20152015 / [6] p. : ill http://dx.doi.org/10.1109/LATW.2015.7102405
  • Replication-based deterministic testing of 2-dimensional arrays with highly interrelated cellsAzad, Siavoosh Payandeh; Oyeniran, Adeboye Stephen; Ubar, Raimund-Johannes21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems : DDECS 2018 : Budapest, Hungary 25-27 April, 2018 : proceedings2018 / p. 21-26 : ill https://doi.org/10.1109/DDECS.2018.00011
  • A rescue demonstrator for interdependent aspects of reliability, security and quality towards a complete EDA flowRaik, Jaan; Jenihhin, Maksim2020 Design, Automation & Test in Europe Conference &Exhibition (DATE) : proceedings2020 / p. 58 https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=9116424
  • Research and training environment for digital design and testUbar, Raimund-Johannes; Wuttke, Heinz-DietrichProceedings of the Eighth IASTED International Conference on Computers and Advanced Technology in Education : August 29-31, 2005, Oranjestad, Aruba2005 / p. 232-237 : ill https://ieeexplore.ieee.org/document/1408779
  • Resource-aware scene text recognition using learned features, quantization, and contour-based character extractionAdemola, Olutosin Ajibola; Petlenkov, Eduard; Leier, MairoIEEE Access2023 / p. 56865 - 56874 https://doi.org/10.1109/ACCESS.2023.3283931 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85161595413&origin=resultslist&sort=plf-f&src=s&sid=daf947ff6c4db156329d2f87190e491f&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Resource-Aware+Scene+Text+Recognition+Using+Learned+Features%2C+Quantization%2C+and+Contour-Based+Character+Extraction%22%29&sl=106&sessionSearchId=daf947ff6c4db156329d2f87190e491f&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001010622600001
  • Resynthesis-based attacks against logic lockingAlmeida, Felipe; Aksoy, Levent; Nguyen, Quang-Linh; Dupuis, Sophie; Flottes, Marie-Lise; Pagliarini, Samuel Nascimento2023 24th International Symposium on Quality Electronic Design (ISQED) : San Francisco, 5-7 April 20232023 / 8 p. : ill https://doi.org/10.1109/ISQED57927.2023.10129403 https://www.scopus.com/record/display.uri?eid=2-s2.0-85161555775&origin=resultslist&sort=plf-f&src=s&sid=d75ef4f2e771071f4016a3777c77ec72&sot=b&sdt=b&s https://www.webofscience.com/wos/woscc/full-record/WOS:001013619400077
  • Reusing verification assertions as security checkers for Hardware Trojan detectionEslami, Mohammad; Ghasempouri, Tara; Pagliarini, Samuel Nascimento2022 23rd International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA : 06-07 April 20222022 / p. 1-6 : ill https://doi.org/10.1109/ISQED54688.2022.9806292
  • Revolver : a high-performance MIMD architecture for collision free computingÖberg, I.; Ellervee, PeeterEuromicro Conference : proceedings : 24th Euromicro Conference : Västerås, Sweden, August 25-27, 1998. Vol. 11998 / p. 301-308 https://ieeexplore.ieee.org/document/711814
  • Riist- ja tarkvara hackathon Tehnohack tuleb taas!Inseneeria2016 / lk. [56] http://www.ester.ee/record=b2336521*est
  • Riist- ja tarkvara koosdisain ja -simuleerimineTammemäe, KalleArvutustehnika ja Andmetöötlus1997 / 2, lk. 1-3; 3, lk. 1-6; 4, lk. 1-4; 5, lk. 1-6: ill
  • Riistvara kirjeldamise keel VHDLTammemäe, KalleArvutustehnika ja Andmetöötlus1992 / 4, lk. 1-11: ill
  • Riistvara kirjeldamiskeel - VHDL : metoodiline materjal1992 https://www.ester.ee/record=b1062926*est
  • Riistvara kirjeldamiskeel - VHDL : metoodiline materjalTammemäe, Kalle2003 http://www.ester.ee/record=b1605950*est
  • Riistvara kirjeldamiskeel VHDL : metoodiline materjalTammemäe, Kalle2002 http://www.ester.ee/record=b1605950*est
  • Riistvara kirjelduskeel VerilogEllervee, PeeterA & A1998 / 1, lk. 6-10
  • Riistvara kompileerimineTammemäe, KalleA & A1998 / 5, lk. 11-15: ill
  • Riistvara suhtlemiseksJaanus, MartinPraktiline Arvutikasutaja2006 / 6, lk. 35-37 : ill https://artiklid.elnet.ee/record=b1054613*est
  • Riistvara Trooja hobuste rünnak võib ohustada nii koduarvuti kasutajat kui ka riigi digitaalset julgeolekut [Võrguväljaanne]arileht.delfi.ee2022 https://arileht.delfi.ee/artikkel/96564343/riistvara-trooja-hobuste-runnak-voib-ohustada-nii-koduarvuti-kasutajat-kui-ka-riigi-digitaalset-julgeolekut
  • SALSy : security-aware layout synthesisEslami, Mohammad; Perez, Tiago Diadami; Pagliarini, Samuel NascimentoarXiv.org2024 / 13 p. : ill https://doi.org/10.48550/arXiv.2308.06201
  • SCARF : securing chips with a robust framework against fabrication-time hardware Trojans : preprintEslami, Mohammad; Ghasempouri, Tara; Pagliarini, Samuel NascimentoarXiv.org2024 / 14 p. : ill https://doi.org/10.48550/arXiv.2402.12162
  • A security-aware and LUT-based CAD flow for the physical synthesis of hASICsAbideen, Zain Ul; Perez, Tiago Diadami; Martins, Mayler; Pagliarini, Samuel NascimentoIEEE transactions on computer-aided design of integrated circuits and systems2023 / p. 3157-3170 : ill https://doi.org/10.1109/TCAD.2023.3244879 https://www.scopus.com/sourceid/27724 https://www.scopus.com/record/display.uri?eid=2-s2.0-85149361558&origin=inward&txGid=dcdfac19b8c2e28e5d718affcdda7cc2 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20COMPUT%20AID%20D&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001071466500003
  • Security-aware physical synthesis of integrated circuits = Integraallülituste turvateadlik füüsiline sünteesPerez, Tiago Diadami2023 https://doi.org/10.23658/taltech.4/2023 https://digikogu.taltech.ee/et/Item/440f41fd-0950-4b5c-8e47-4f75a754cdae https://www.ester.ee/record=b5536743*est
  • Sequential test set compaction in LFSR reseedingJutman, Artur; Aleksejev, Igor; Raik, JaanDesign and test technology for dependable systems-on-chip2011 / p. 476-493 : ill https://ieeexplore.ieee.org/document/4738292
  • A side-channel hardware trojan in 65nm CMOS with 2μW precision and multi-bit leakage capabilityPerez, Tiago Diadami; Pagliarini, Samuel Nascimento2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC) : 17-20 January 2022 : Taipei, Taiwan2022 / p. 9-10 : ill https://doi.org/10.1109/ASP-DAC52403.2022.9712490
  • Side-channel Trojan insertion - a practical foundry-side attack via ECOPerez, Tiago Diadami; Imran, Malik; Vaz, Pablo; Pagliarini, Samuel Nascimento2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea, May 22-28, 2021 : proceedings2021 / 5 p. : ill https://doi.org/10.1109/ISCAS51556.2021.9401481 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85109036293&origin=inward&txGid=ab42f2e6641a52d32027b1dc4f2126b6 https://www.webofscience.com/wos/woscc/full-record/WOS:000706507900007
  • SimulationParmakson, Priit; Tepandi, JaakConcise encyclopedia of software engineering1993 / p. 303-306
  • Simulation-based hardware verification with high-level decision diagrams = Simuleerimisel põhinev riistvara verifitseerimine kõrgtaseme otsustusdiagrammidelJenihhin, Maksim2008 https://www.ester.ee/record=b2431332*est
  • A small-scale, low-budget semi-immersive virtual environment for scientific visualization and researchPastorelli, Emiliano; Herrmann, Heiko2013 International Conference on Virtual and Augmented Reality in Education2013 / p. 14-22 https://doi.org/10.1016/j.procs.2013.11.003 https://www.scopus.com/sourceid/19700182801 https://www.scopus.com/record/display.uri?eid=2-s2.0-84890764895&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1016%2Fj.procs.2013.11.003%29&sessionSearchId=76fbb70f0cd4609e583cfaa0a1c9c9a6 https://www.webofscience.com/wos/woscc/full-record/WOS:000345445900002
  • Software parser and analyser for hardware performance estimationsRuberg, Priit; Meinberg, Erki; Ellervee, Peeter2022 International Conference on Electrical, Computer and Energy Technologies (ICECET), Prague, Czech Republic, 20-22 July 20222022 / p. 1-6 https://doi.org/10.1109/ICECET55527.2022.9872951
  • Software-based mitigation for memory address decoder agingKraak, D. H. P.; Gürsoy, Cemil Cem; Jenihhin, Maksim; Raik, JaanLATS 2019 : 20th IEEE Latin American Test Symposium : Santiago, Chile, March 11th - 13th 20192019 / 6 p. : ill https://doi.org/10.1109/LATW.2019.8704595
  • Solving computationally intensive problems in reconfigurable hardware : a case studySkliarova, Iouliia; Vallejo, Tiago; Sklyarov, Valery; Sudnitsõn, Aleksander; Kruus, MargusJournal of convergence information technology (JCIT) : an international journal2013 / p. 601-609 : ill
  • Structural decision diagrams in digital test : theory and applicationsUbar, Raimund-Johannes; Raik, Jaan; Jenihhin, Maksim; Jutman, Artur2024 https://doi.org/10.1007/978-3-031-44734-1 https://www.ester.ee/record=b5734441*est
  • Survey on architectural attacks : a unified classification and attack modelGhasempouri, Tara; Raik, Jaan; Reinbrecht, Cezar; Hamdioui, Said; Hamdioui, SaidACM Computing Surveys2023 / art. 42 https://doi.org/10.1145/3604803 https://www.scopus.com/sourceid/23038 https://www.scopus.com/record/display.uri?eid=2-s2.0-85174677921&origin=resultslist&sort=plf-f&src=s&sid=5017a1c9d633ac6f0480b4ae72b5920a&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Survey+on+Architectural+Attacks%3A+A+Unified+Classification+and+Attack+Model%22%29&sl=91&sessionSearchId=5017a1c9d633ac6f0480b4ae72b5920a&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ACM%20COMPUT%20SURV&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001085637600017
  • A survey on split manufacturing : attacks, defenses, and challengesPerez, Tiago Diadami; Pagliarini, Samuel NascimentoIEEE Access2020 / p. 184013-184035 https://doi.org/10.1109/ACCESS.2020.3029339 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85101841306&origin=inward&txGid=1abec245e5e8a6b34906241854d7052d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000579348000001
  • Survey on the benefits of using memristors for PUFsAljafar, Muayad J.; Acken, John M.International Journal of Parallel, Emergent and Distributed Systems2022 / p. 40-67 https://doi.org/10.1080/17445760.2021.1972295
  • A survey on UAV computing platforms : a hardware reliability perspectiveAhmed, Foisal; Jenihhin, MaksimSensors2022 / art. 6286 https://doi.org/10.3390/s22166286 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85136625318&origin=inward&txGid=104823fe2944deb91b3cd11de67100a3 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000845429300001
  • A systematic literature review on hardware reliability assessment methods for deep neural networksAhmadilivani, Mohammad Hasan; Taheri, Mahdi; Raik, Jaan; Daneshtalab, Masoud; Jenihhin, MaksimACM Computing Surveys2024 / art. 141, 39 p. : ill https://doi.org/10.1145/3638242 https://www.scopus.com/sourceid/23038 https://www.scopus.com/pages/publications/85188964919?inward https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ACM%20COMPUT%20SURV&year=2024 https://www.webofscience.com/wos/woscc/full-record/WOS:001208566200007
  • A systematic study of lattice-based NIST PQC algorithms : from reference implementations to hardware acceleratorsImran, Malik; Abideen, Zain Ul; Pagliarini, Samuel NascimentoarXiv.org2020 / 36 p. : ill
  • zamiaCAD : open source platform for advanced hardware designTšepurov, Anton; Jenihhin, Maksim; Raik, JaanDATE 2011 University Booth : Design Automation and Test in Europe : Grenoble, France, March 14-18, 20112011 / [2] p.: ill
  • zamiaCAD : understand, develop and debug hardware designsJenihhin, Maksim; Tihhomirov, Valentin; Saif Abrar, Syed; Raik, Jaan; Bartsch, GünterDUHDe : 1st Workshop on Design Automation for Understanding Hardware Designs : March 28, 2014 : Friday Workshop at DATE 2014, Dresden, Germany2014 / p. 1-6
  • Zero-memory-overhead clipping-based fault tolerance for LSTM deep neural networksParchekani, Bahram; Nazari, Samira; Ahmadilivani, Mohammad Hasan; Azarpeyvand, Ali; Raik, Jaan; Ghasempouri, Tara; Daneshtalab, Masoud37th IEEE International Symposium on Defect and Fault Tolerancein VLSI and Nanotechnology Systems, Harwell, Oxfordshire, Didcot, UK, October 8th - 10th, 20242024 / 4 p. : ill https://doi.org/10.1109/DFT63277.2024.10753533 https://www.scopus.com/sourceid/21101123252 https://www.scopus.com/record/display.uri?eid=2-s2.0-85212407196&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=ALL%28%22Zero-Memory-Overhead+Clipping-Based+Fault+Tolerance+for+LSTM+Deep+Neural+Networks%22%29&sessionSearchId=fd90d0e9983d9a88c6a21e7c6aafecbb&relpos=0
  • TalTechi riistvara turvalisuse keskuse doktorandid saavutasid rahvusvahelisel konkurentsitihedal võistlusel kolmanda koha [Võrguväljaanne]digi.geenius.ee2022 https://digi.geenius.ee/rubriik/teadus-ja-tulevik/taltechi-riistvara-turvalisuse-keskuse-doktorandid-saavutasid-rahvusvahelisel-konkurentsitihedal-voistlusel-kolmanda-koha/
  • TalTechi uus magistrikava avab lõpetajatele uksed looma uut nutikat maailma tarkadest majadest rohepöördeniarileht.delfi.ee2023 https://arileht.delfi.ee/artikkel/120172300/taltechi-uus-magistrikava-avab-lopetajatele-uksed-looma-uut-nutikat-maailma-tarkadest-majadest-rohepoordeni
  • Tehnohack 2016 : ideid jagus plaastrilaadsest tootest bumeranginiInseneeria2016 / lk. [42]-43 : fot https://artiklid.elnet.ee/record=b2806776*est
  • TehnoHack 2017 : pissiandurist nullgravitatsiooniniMühlbach, MadleMente et Manu2017 / lk. 54-55 : fot http://www.ester.ee/record=b1242496*est https://artiklid.elnet.ee/record=b2830887*est
  • Temporally extended high-level decision diagrams for PSL assertions simulationJenihhin, Maksim; Raik, Jaan; Tšepurov, Anton; Ubar, Raimund-JohannesProceedings : Thirteenth IEEE European Test Symposium : ETS 2008 : 25-29 May 2008, Verbania, Italy2008 / p. 61-68 : ill https://ieeexplore.ieee.org/document/4556029
  • Terminali riist- ja tarkvarakompleksPalmas, M.; Niinsalu, UdoXXXII üliõpilaste teaduslik-tehnilise konverentsi ettekannete teesid : pühendatud V. I. Lenini 110. sünniaastapäevale : 16.-18. aprill 19801981 / lk. 96 https://www.ester.ee/record=b1322611*est
  • The hardware for artificial noseMisans, Peteris; Dzilums, Guntars; Shirs, JurisBEC'96 : the 5th Biennial Baltic Electronics Conference, October 7-11, 1996, Tallinn, Estonia : proceedings1996 / p. 425-428: ill
  • The substation local PC workstation. Present and futureDmitrenko, Igor9th International Symposium "Topical problems in the field of electrical and power engineering". Doctoral school of energy and geotechnology. II : Pärnu, Estonia, June 14-19, 20102010 / p. 186-189 : ill
  • A 3-D crossbar architecture for both pipeline and parallel computationsAljafar, Muayad J.; Acken, John M.IEEE Transactions on Circuits and Systems I : regular papers2021 / p. 4456-4469 https://doi.org/10.1109/TCSI.2021.3108564 https://pdxscholar.library.pdx.edu/cgi/viewcontent.cgi?article=1680&context=ece_fac
  • Toimiva digiühiskonna tagavad usaldusväärne tarkvara, turvaline riistvara ning energiasäästlikud ja nutikad asjadHärmat, KarinMente et Manu2022 / lk. 32-33 https://www.ester.ee/record=b1242496*est
  • Towards multidimensional verification : where functional meets non-functionalJenihhin, Maksim; Lai, Xinhui; Ghasempouri, Tara; Raik, Jaan2018 IEEE Nordic Circuits and Systems Conference (NORCAS) : NORCHIP and International Symposium of System-on-Chip (SoC) : 30-31 October 2018, Tallinn, Estonia : proceedings in IEEE Xplore2018 / 7 p. : ill https://doi.org/10.1109/NORCHIP.2018.8573495
  • Trainer 1149 : a boundary scan simulation bundle with hardware support for labsShibin, Konstantin; Jutman, ArturInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK viienda aastakonverentsi artiklite kogumik : 25.-26. novembril 2011, Nelijärve2011 / p. 135-138 : ill
  • Trainer 1149: a boundary scan simulation bundle for labsJutman, Artur; Ubar, Raimund-Johannes; Devadze, Sergei; Shibin, Konstantin; Rosin, VjatšeslavMIXDES 2011 : 18th International Conference "Mixed Design of Integrated Circuits and Systems" : June 16-18, 2011, Gliwice, Poland2011 / p. 520-525
  • Translating behavioral VHDL for emulationEllervee, Peeter; Reinsalu, Uljana; Arhipov, Anton25th IEEE NORCHIP Conference : Aalborg, Denmark, 19-20 November 20072007 / ? p https://ieeexplore.ieee.org/document/4481073
  • TranspuutridToomsalu, ArvoArvutustehnika ja Andmetöötlus1997 / 4, lk. 14-16; 5, lk. 7-11; 6, lk. 6-9; 7/8, lk. 1-6: ill
  • Triple fixed-point MAC unit for deep learningKerner, Madis; Tammemäe, Kalle; Raik, Jaan; Hollstein, ThomasProceedings of the 2021 Design, Automation & Test in Europe (DATE 2021), 1-5 February 2021 : Virtual Conference2021 / p. 1404-1407 https://doi.org/10.23919/DATE51398.2021.9474020
  • Tuleviku ootelVõhandu, LeoArvutimaailm1993 / 3, lk. 4
  • Turning JTAG inside out for fast extended test accessDevadze, Sergei; Jutman, Artur; Aleksejev, Igor; Ubar, Raimund-Johannes10th IEEE Latin American Test Workshop : 2-5 March 2009, Brazil2009 / [6] p. : ill https://ieeexplore.ieee.org/document/4813799
  • Töökindla arvutusriistvara keskuse juht Maksim JenihhinJenihhin, Maksimforte.delfi.ee2024 https://forte.delfi.ee/artikkel/120303228/tunne-teadlast-tookindla-arvutusriistvara-keskuse-juht-maksim-jenihhin
  • Understanding multidimensional verification : where functional meets non-functionalLai, Xinhui; Balakrishnan, Aneesh; Lange, Thomas; Jenihhin, Maksim; Ghasempouri, Tara; Raik, Jaan; Alexandrescu, DanMicroprocessors and microsystems2019 / art. 102867, 13 p. : ill https://doi.org/10.1016/j.micpro.2019.102867 https://www.scopus.com/sourceid/15552 https://www.scopus.com/record/display.uri?eid=2-s2.0-85071020969&origin=inward&txGid=87d2f90199a10d44d941b14d594d2f30 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MICROPROCESS%20MICROSY&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000500052000047
  • UPS arvuti elektritoitesüsteemisToomsalu, ArvoArvutimaailm1998 / 6, lk. 18-22, 63: ill https://artiklid.elnet.ee/record=b2003508*est
  • Using of industry automation software and hardware in education and trainingRosin, Argo; Laugis, Juhan; Lehtla, TõnuCAx Techniques : proceedings of the 4th International Scientific Colloquium : Bielefeld, Germany, September 13-15, 19991999 / p. 119-124 : ill
  • Using simulation statistics for bug localization in RTL designsTihhomirov, Valentin; Jenihhin, Maksim; Raik, JaanInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK seitsmenda aastakonverentsi artiklite kogumik : 15.-16. novembril 2013, Haapsalu2013 / p. 107-110 : ill
  • Using soft-core processors and FPGA development boards for hardware emulationArhipov, Anton; Ellervee, PeeterInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK teise aastakonverentsi artiklite kogumik : 11.-12. mai 2007, Viinistu kunstimuuseum2007 / lk. 155-158 : ill
  • Using Tabu Search for optimization of memory-constrained hybrid BISTKruus, Helena; Jervan, Gert; Ubar, Raimund-JohannesInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK kolmanda aastakonverentsi artiklite kogumik : 25.-26. aprill 2008, Voore külalistemaja2008 / p. 85-88 : ill
  • Using weighted graphs for fast architecture explorationEllervee, Peeter; Klaar, Tarmo; Kruus, Margus; Tammemäe, KalleBEC 2002 : proceedings of the 8th Biennial Baltic Electronics Conference : October 6-9, 2002, Tallinn, Estonia2002 / p. 111-114 : ill
  • Uus magistrikava avab lõpetajatele uksed nutikasse maailma tarkadest majadest rohepöördenipostimees.ee2023 https://haridus.postimees.ee/7753252/uus-magistrikava-avab-lopetajatele-uksed-nutikasse-maailma-tarkadest-majadest-rohepoordeni https://www.toostusuudised.ee/uudised/2023/04/14/taltech-avab-elektroonikainseneridele-suunatud-magistrikava
  • Vaba mees ratastoolisKikkas, KaidoArvutimaailm1997 / 1, lk. 44-46 https://artiklid.elnet.ee/record=b2002891*est
  • VEDA - moVE DAta to balance the grid : research directions and recommendations for exploiting data centers flexibility within the power systemZiagham Ahwazi, Amin; Bordin, Chiara; Mishra, Sambeet; Ha, Phuong H.; Horsch, AlexanderICSCC '21: Proceedings of the 2021 6th International Conference on Systems, Control and Communications2021 / p. 13-18 https://doi.org/10.1145/3510362.3510365 https://www.scopus.com/sourceid/11600154611 https://www.scopus.com/record/display.uri?eid=2-s2.0-85126936882&origin=resultslist&sort=plf-f&src=s&sid=ce9477a87bac3db51548c33410f7748d&sot=b&sdt=b&s=DOI%2810.1145%2F3510362.3510365%29&sl=27&sessionSearchId=ce9477a87bac3db51548c33410f7748d&relpos=0
  • Why quantum state verification cannot be both efficient and secure : a categorical approachWiesner, Fabian; Chaoui, Ziad; Kessler, Diana-Maria; Pappa, Anna; Karvonen, MarttiarXiv.org2024 / 36 p https://doi.org/10.48550/arXiv.2411.04767
  • Virtual academy platform supported by a semantic knowledge baseSeiler, Sven; Sell, RaivoProceedings of the 7th International Conference of DAAAM Baltic Industrial Engineering : 22-24th April 2010, Tallinn, Estonia. [II]2010 / p. 566-571 : ill
  • Võitlus kiipides varitsevate troojalastega tõstab Eesti teadlased kilbileHärmat, Karinerr.ee2023 https://novaator.err.ee/1609026488/voitlus-kiipides-varitsevate-troojalastega-tostab-eesti-teadlased-kilbile
  • X-road data traffic open for the second yearKalja, AhtoInformation technology in public administration of Estonia : yearbook 20032004 / p. 32-37
  • Методическое пособие к лабораторным работам и курсовому проектированию по дисциплине "Схемотехника ЭВМ"1987 https://www.ester.ee/record=b1354263*est
  • Система макетного обмена МАОBernštein, JelenaОбработка данных. Построение трансляторов. Вопросы программирования1987 / с. 114-117