- A proposal for optimisation of low-powered FSM testingBrik, Marina; Fomina, Jelena; Ubar, Raimund-JohannesProceedings of IEEE East-West Design & Test Workshop (EWDTW'05) : Odessa, Ukraine, September 15-19, 20052005 / p. 15-20
- A Q-model approach to decomposition and aggregation of specifications of real-time systemsPaluoja, ReinProceedings of the 7th EUROMICRO Workshop on Real-Time Systems : Odense, Denmark, June 14-16, 19951995 / p. 213-221
- A quadratic programming approach to output and robust controller designNurges, Ülo; Rüstern, EnnuProceedings of the 3rd International Conference on Control Theory and Applications : Pretoria, South Africa, December 20012001 / p. 113-117
- A robust detector for impulsive noise environmentTrump, TõnuProceedings of the Forty-first Asilomar Conference on Signals, Systems and Computers : Asilomar, USA, November 4-7, 20072007 / ? p https://ieeexplore.ieee.org/document/4487311/keywords#keywords
- A robust detector for uniformly distributed noiseTrump, TõnuProceedings of the IEEE International Conference on Acoustics, Speech and Signal Processing : ICASSP 2010 : Dallas, Texas, USA, 14-19 March 20102010 / p. 3870-3873
- A robust eigenvalue ratio detector for cognitive radio [Electronic resource]Trump, Tõnu19th International Conference on Digital Signal Processing : 20-23 August 2014, The Hong Kong Polytechnic University : [proceedings]2014 / p. 30-35 : ill. [USB]
- A rule-based approach to Web-based application developmentTammet, Tanel; Haav, Hele-Mai; Kadarpik, Vello; Kääramees, Marko2006 Seventh International Baltic Conference on Databases and Information Systems : Vilnius, Lithuania, 3-6 July 2006 : proceedings2006 / p. 202-208
- A scalable model based RTL framework zamiaCAD for static analysisTšepurov, Anton; Jenihhin, Maksim; Raik, Jaan; Tihhomirov, Valentin2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC) : October 7-10, 2012 Santa Cruz, USA Dream Inn, Santa Cruz, USA : [proceedings]2012 / p. 171-176 : ill
- A scalable multi-agent architecture in environments with limited connectivity : case study on individualised care for healthy pregnancyMahunnah, Msury; Taveter, Kuldar2013 7th IEEE International Conference on Digital Ecosystems and Technologies (DEST) : special theme - smart planet and cyber physical systems as embodiment of digital ecosystems : Menlo Park, California, USA, 24–26 July 20132013 / p. 84-89 : ill
- A simple modular active power electronic transformerRoasto, Indrek; Strzelecki, RyszardProceedings : 2014 IEEE 23rd International Symposium on Industrial Electronics (ISIE) : Grand Cevahir Hotel and Convention Center, Istambul, Turkey, 01-04 June, 20142014 / p. 1976-1980 : ill
- A solution to perceptual aliasing through probabilistic fuzzy logic and SIFTQamar, Syeda Madiha; Iqbal, Khawaja Fahad; Muhammad, Naveed2013 IEEE/ASME International Conference on Advanced Intelligent Mechatronics : Mechatronics for Human Wellbeing : July 9-12, 2013, Wollongong, Australia2013 / p. 1393-1398 : ill
- A test-bed for time-sensitive agents - some involved problemsMõtus, Leo; Meriste, Merik; Kelder, Tõnis; Helekivi, Jüri; Kimlaychuk, Vadim9th IEEE Conference on Emerging Technologies and Factory Automation (ETFA'2003) : Calouste Gulbenkian Foundation, Lisbon, Portugal, 16-19 September 2003. 22003 / p. 645-651 https://ieeexplore.ieee.org/document/1248759
- A two-stage approach to 2D DOA estimation for a compact circular microphone arrayAstapov, Sergei; Berdnikova, Julia; Preden, Jürgo-Sören2015 4th International Conference on Informatics, Electronics & Vision (ICIEV) : [proceedings]2015 / [6] p. : ill
- A two-stage approach to 2D DOA estimation for a compact circular microphone arrayAstapov, Sergei; Berdnikova, Julia; Preden, Jürgo-Sören2015 4th International Conference on Informatics, Electronics & Vision (ICIEV) : [abstracts]2015 / p. 74-75
- About robustness of test patterns regarding multiple faultsUbar, Raimund-Johannes; Kostin, Sergei; Raik, JaanLATW 2012 : 13th IEEE Latin-American Test Workshop proceedings : April 10th-13th, 2012, Quito, Ecuador2012 / p. 86-91 : ill https://www.infona.pl/resource/bwmeta1.element.ieee-art-000006261243
- About suitability of condensing power plants to power distribution systemAstapov, Victor; Šuvalova, Jelena4th International Youth Conference on Energy : 6-8 June, 2013, Siófok, Hungary : [proceedings]2013 / [5] p. : ill
- Abstraction of clock interface for conversion of RTL VHDL to SystemCSaif Abrar, Syed; Jenihhin, Maksim; Raik, Jaan2014 IEEE International Advance Computing Conference (IACC) : February 21-22, 2014, Gurgaon, India2014 / p. 50-55 : ill
- AC losses analysis approaches for electric vehicle motors with hairpin winding configurationShams Ghahfarokhi, Payam; Podgornovs, Andrejs; Marques Cardoso, Antonio J.; Kallaste, Ants; Belahcen, Anouar; Vaimann, ToomasIECON 2021 - 47th Annual Conference of the IEEE Industrial Electronics Society, 20212021 / p. 1-4 : ill https://doi.org/10.1109/IECON48115.2021.9589339 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85119499431&origin=inward&txGid=1e60eefb46872a0b4433985faee8db06 https://www.webofscience.com/wos/woscc/full-record/WOS:000767230601104
- Accelerating transient fault injection campaigns by using Dynamic HDL SlicingBagbaba, Ahmet Cagri; Jenihhin, Maksim; Raik, Jaan; Sauer, Christian2019 IEEE Nordic Circuits and Systems Conference (NORCAS) : NORCHIP and International Symposium of System-on-Chip (SoC), 29-30 October 2019, Helsinki, Finland : proceedings in IEEE Xplore2019 / 7 p. : ill https://doi.org/10.1109/NORCHIP.2019.8906932
- Acceleration data acquisition and processing system for structural health monitoringHerranen, Henrik; Kuusik, Alar; Saar, Tõnis; Reidla, Marko; Land, Raul; Märtens, Olev; Majak, Jüri2014 IEEE International Workshop On Metrology For Aerospace : May 29-30, 2014, Benevento, Italy : proceedings2014 / p. 244-248 : ill
- Accessibility and orbits for discrete-time nonlinear control systemsBartosiewicz, Zbigniew; Kotta, Ülle; Wyrwas, Malgorzata60th IEEE Conference on Decision and Control, CDC 2021, Austin, 13-17 December 20212021 / p. 4594-4601 https://doi.org/10.1109/CDC45484.2021.9682853 https://www.scopus.com/sourceid/87424 https://www.scopus.com/record/display.uri?eid=2-s2.0-85126013279&origin=inward&txGid=1e6a1739ab9d396d0eabf7d90f90531e https://www.webofscience.com/wos/woscc/full-record/WOS:000781990304011
- Accessibility and system reduction of nonlinear time-delay control systemsBartosiewicz, Zbigniew; Kaldmäe, Arvo; Kawano, Yu; Kotta, Ülle; Pawluszewicz, Ewa; Simha, Ashutosh; Wyrwas, MałgorzataIEEE Transactions on Automatic Control2021 / p. 3781−3788 https://doi.org/10.1109/TAC.2020.3028566 https://www.scopus.com/sourceid/17339 https://www.scopus.com/record/display.uri?eid=2-s2.0-85111748229&origin=inward&txGid=619909cb4363e201acb564769b2a8e06 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20AUTOMAT%20CONTR&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000678334500033
- Accuracy analysis of dual active bridge simulations under different integration methodsArena, Gabriele; Vinnikov, Dmitri; Chub, Andrii; de Carne, Giovanni2022 AEIT International Annual Conference (AEIT) : October 3-5, 20222022 / p. 1-6 https://doi.org/10.23919/AEIT56783.2022.9951711
- Accuracy analysis of selected time series and machine learning methods for smart cities based on Estonian electricity consumption forecastHäring, Tobias; Ahmadiahangar, Roya; Rosin, Argo; Korõtko, Tarmo; Biechl, Helmuth2020 IEEE 14th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : proceedings2020 / p. 425-428 : ill https://doi.org/10.1109/CPE-POWERENG48600.2020.9161690
- Accuracy of voltage unbalance source assessment in three-phase three-wire electrical networksBolgov, Viktor; Kalyuzhniy, DmitryProceedings of the 2020 Ural Smart Energy Conference (USEC) : 2020 USEC, Ekaterinburg, Russia 13-15 November 20202020 / 4 p https://doi.org/10.1109/USEC50097.2020.9281165
- Accurate dialysis dose evaluation and extrapolation algorithms during online optical dialysis monitoringFridolin, Ivo; Karai, Deniss; Kostin, Sergei; Ubar, Raimund-JohannesIEEE transactions on biomedical engineering2013 / p. 1371-1377 : ill https://doi.org/10.1109/TBME.2012.2234458 https://www.scopus.com/sourceid/16318 https://www.scopus.com/record/display.uri?eid=2-s2.0-84876779545&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FTBME.2012.2234458%29 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20BIO-MED%20ENG&year=2013 https://www.webofscience.com/wos/woscc/full-record/WOS:000318160500024
- Acousto-mechanical instrumentation of multiscale hysteretic memristive properties of the skin with nonlinear time reversal imagingDos Santos, Serge; Lints, Martin; Masood, Ali; Salupere, Andrus2017 Cosmetic Measurements and Testing (COSMETIC 2017), Cergy-Pontoise, France, 6 - 7 June 20172017 / p. 16-19 : ill http://dx.doi.org/10.1109/COMET.2017.8521466
- Active and reactive power control of DFIG using optimized fractional order-PI controllerJaved, Umar; Arshad, Muhammad Asad; Shabbir, Noman; Kütt, Lauri; Rassõlkin, Anton2021 IEEE 19th International Power Electronics and Motion Control Conference, The Silesian University of Technology Gliwice, Poland, 25 - 29 April, 2021 (PEMC) : proceedings2021 / p. 398-404 https://doi.org/10.1109/PEMC48073.2021.9432608
- Active blended learning as a tool focused on industry 5.0 at EuroTeQ Engineering UniversityVodovozov, Valery; Raud, Zoja; Petlenkov, Eduard2024 IEEE Global Engineering Education Conference (EDUCON) : proceedings2024 / p. 1-5 https://doi.org/10.1109/EDUCON60312.2024.10578688
- Active clamped dual current-fed bidirectional DC-DC converter for wide voltage range applicationsChauhan, Sachin; Blinov, Andrei; Chub, Andrii; Vinnikov, Dmitri2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 7 p https://doi.org/10.1109/RTUCON62997.2024.10830838
- Active front end converters with voltage balancing capabilityVerbytskyi, Ievgen; Blinov, Andrei; Chub, Andrii; Vinnikov, Dmitri; Kyselova, Anna2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 5 p https://doi.org/10.1109/RTUCON62997.2024.10830902
- Active learning in remote electrical drive laboratoryVodovozov, Valery; Raud, Zoja; Lehtla, TõnuProceedings of 2015 IEEE Global Engineering Education Conference (EDUCON) : 18-20 March 2015, Tallinn University of Technology (TUT), Tallinn, Estonia2015 / p. 28-34 : ill https://doi.org/10.1109/EDUCON.2015.7095946
- Active learning of students with diverse goals and backgrounds in the light of Industry 4.0 requirementsVodovozov, Valery; Raud, Zoja; Petlenkov, EduardProceedings of the 2022 IEEE Global Engineering Education Conference (EDUCON)2022 / p. 351-355 https://doi.org/10.1109/EDUCON52537.2022.9766564
- Active learning power electronics : a new assessment methodologyRaud, ZojaEPE-PEMC 2010 : 14th International Power Electronics and Motion Control Conference : 6-8 September 2010, Ohrid, Republic of Macedonia2010 / p. T14-1 - T14-5
- Active learning-based mobile malware detection utilizing auto-labeling and data drift detectionDeng, Zhe; Hubert, Arthur; Ben Yahia, Sadok; Bahsi, HayretdinProceedings of the 2024 IEEE International Conference on Cyber Security and Resilience, CSR 20242024 / p. 146 - 151 https://doi.org/10.1109/CSR61664.2024.10679343 https://www.scopus.com/record/display.uri?eid=2-s2.0-85206173340&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FCSR61664.2024.10679343%29&sessionSearchId=e1befdb1cf15a568da5cda41fe0f6fd4&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001327167900023
- Active power electronic transformer based on modular building blocksRoasto, Indrek; Romero-Cadaval, Enrique; Martins, JoaoProceedings : IECON 2013 - 39th Annual Conference of the IEEE Industrial Electronics Society : Austria Center Vienna, Vienna, Austria, 10-14 November, 20132013 / p. 5922-5927 : ill
- Active-passive two-way ranging using UWBLaadung, Taavi; Ulp, Sander; Alam, Muhammad Mahtab; Le Moullec, Yannick2020, 14th International Conference on Signal Processing and Communication Systems, (ICSPCS) December 14-16, 2020 – Virtual Conference : proceedings2020 https://doi.org/10.1109/ICSPCS50536.2020.9309999
- Activity classification for real-time wearable systems : effect of window length, sampling frequency and number of features on classifier performanceAllik, Ardo; Pilt, Kristjan; Karai, Deniss; Fridolin, Ivo; Leier, Mairo; Jervan, Gert2016 IEEE EMBS Conference on Biomedical Engineering and Sciences (IECBES) : Kuala Lumpur, 4-8 December 20162016 / p. 460-464 : ill https://doi.org/10.1109/IECBES.2016.7843493
- Actual impact of heat pumps to energy performance of apartment buildings in EstoniaReino, Arbo; Hamburg, Arvi2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 6 p. : ill https://doi.org/10.1109/RTUCON48111.2019.8982370
- AC-voltage performance of field aged glass insulator stringsHyvönen, Petri; Klüss, Joni; Taklaja, PaulICHVE 2014 : 2014 International Conference on High Voltage Engineering and Application : 8-11 September 2014, Poznan, Poland2014 / [4] p. : ill
- AdAM: adaptive fault-tolerant approximate multiplier for edge DNN acceleratorsTaheri, Mahdi; Cherezova, Natalia; Nazari, Samira; Rafiq, Ahsan; Azarpeyvand, Ali; Ghasempouri, Tara; Daneshtalab, Masoud; Raik, Jaan; Jenihhin, Maksim2024 IEEE European Test Symposium (ETS): ETS 2024 : May 20-24, 2024, The Hague, Netherlands : proceedings2024 https://doi.org/10.1109/ETS61313.2024.10567161 https://www.scopus.com/sourceid/21100395950 https://www.scopus.com/record/display.uri?eid=2-s2.0-85197518684&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FETS61313.2024.10567161%29&sessionSearchId=2694adcfded71cf12565f4df31de58f5&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001260970400008
- Adaptive algorithms for heart rate reconstructionHinrikus, Hiie; Meigas, Kalju; Kaik, Jüri; Lass, JaanusProceedings of the First Joint BMES/EMBS Conference : Serving Humanity Advancing Technology, Oct. 13-16, 99, Atlanta, GA, USA1999 / p. 320
- Adaptive chaotic class topper optimization to solve Non-convex CHPED problems in power systemsGupta, Pradeep Kumar; Tuttelberg, Kaur; Kilter, Jako2024 IEEE PES Innovative Smart Grid Technologies - Asia (ISGT Asia)2024 / 6 p. https://doi.org/10.1109/ISGTAsia61245.2024.10876314
- Adaptive equalizer for Mode S receiverTart, Allan; Trump, Tõnu2013 8th International Workshop on Systems, Signal Processing and their Applications (WoSSPA) : 12-15 May, 2013, Algiers, Algeria2013 / p. 310-315 : ill
- Adaptive Extended Kalman Filter position estimation based on Ultra-Wideband Active-Passive Ranging ProtocolLaadung, Taavi; Ulp, Sander; Fjodorov, Aleksei; Alam, Muhammad Mahtab; Le Moullec, YannickIEEE Access2023 / p. 92575-92588 https://doi.org/10.1109/ACCESS.2023.3308696 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85168710588&origin=inward&txGid=21db6797c4f4a94e6e2c798695dd5baf https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001060260800001
- Adaptive futures : scalability for real-world computingHelander, Johannes; Serg, Risto; Veanes, Margus; Roy, PritamProceedings of 28th IEEE International Real-Time Systems Symposium : Tucson, Arizona, 3-6 December 20072007 / p. 105-116 : ill https://ieeexplore.ieee.org/document/4408296/keywords#keywords
- Adaptive Kalman filter based data aggregation in fault-resilient Underwater Sensor NetworksVihman, Lauri; Raik, Jaan2023 24th International Conference on Digital Signal Processing (DSP)2023 / p. 1-5 https://doi.org/10.1109/DSP58604.2023.10167982
- Adaptive LQR controller for Networked Control Systems subjected to random communication delaysSrinivasan, Seshadhri; Vallabhan, Mishiga; Ramaswamy, Srini; Kotta, ÜlleAmerican Control Conference (ACC), 2013 : 17 - 19 June 2013, Washington, DC, USA (2013)2013 / p. 783 - 787 https://doi.org/10.1109/acc.2013.6579931 https://www.scopus.com/sourceid/18692 https://www.scopus.com/record/display.uri?eid=2-s2.0-84883535953&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2Facc.2013.6579931%29&sessionSearchId=f5537800810c8e1f4bbc115ea06ecda6
- Adaptive virtual inertia-damping system based on model predictive control for low-inertia microgridsFawzy, Asmaa; Bakeer, Abualkasim Ahmed Ali; Magdy, Gaber; Atawi, Ibrahem E.; Roshdy, MohamedIEEE Access2021 / p. 109718 - 109731 https://doi.org/10.1109/ACCESS.2021.3101887 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85112659096&origin=inward&txGid=028c72c818d4de1642f04eab07326631 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000684001900001
- An adaptively tunable model of the cardiac signal for the bio-impedance signal decomposer (BISD)Krivošei, Andrei; Kukk, Vello; Min, MartMeMeA 2008 : IEEE International Workshop on Medical Measurements and Applications : 9-10 May 2008, Ottawa, Ontario, Canada2008 / p. 49-52
- Adaptively undersampled image processing for fast multiline laser detectionMõlder, Ago; Märtens, Olev; Saar, Tõnis; Land, Raul2013 IEEE 8th International Symposium on Intelligent Signal Processing (WISP 2013) : proceedings2013 / p. 60-64 : ill [CD-ROM]
- Adaptive-rate inductive impedance based coin validationMärtens, Olev; Land, Raul; Gavrijaševa, Alina; Mõlder, Ago2011 IEEE 7th International Symposium on Intelligent Signal Processing (WISP) : Floriana, Malta, September 19-21, 2011 : proceedings2011 / p. 122-125: ill
- ADAssure : debugging methodology for autonomous driving control algorithmsRoberts, Andrew; Heidari Iman, Mohammad Reza; Bellone, Mauro; Ghasempouri, Tara; Raik, Jaan; Maennel, Olaf Manuel; Hamad, Mohammad; Steinhorst, Sebastian2024 Design, Automation & Test in Europe Conference & Exhibition (DATE) : proceedings2024 / p. 1-6 https://doi.org/10.23919/DATE58400.2024.10546519
- Additional specialty of a technical teacher for engineers afforded in continuing education at Tallinn University of TechnologyRüütmann, TiiaProceedings of 2016 13th International Conference on Remote Engineering and Virtual Instrumentation (REV) : 24-26 February 2016 in Madrid, Spain2016 / p. 43-47 : ill https://doi.org/10.1109/REV.2016.7444438
- Additive design possibilities of electrical machinesKallaste, Ants; Vaimann, Toomas; Rassõlkin, Anton59th Annual International Scientific Conference on Power and Electrical Engineering : November 12, 13, 2018, Riga Technical University (RTUCON) : conference proceedings2018 / 5 p. : ill https://doi.org/10.1109/RTUCON.2018.8659828
- Additive manufacturing of prototype axial flux switched reluctance electrical machineTiismus, Hans; Kallaste, Ants; Belahcen, Anouar; Vaimann, Toomas; Rassõlkin, Anton2021 28th International Workshop on Electric Drives : Improving Reliability of Electric Drives (IWED)2021 / 4 p. : ill https://doi.org/10.1109/IWED52055.2021.9376337
- Additively manufactured and topology optimized heatsink for a propulsion motorSarap, Martin; Kallaste, Ants; Vaimann, Toomas; Ghahfarokhi, Payam Shams2024 International Conference on Electrical Machines (ICEM)2024 / 6 p https://doi.org/10.1109/ICEM60801.2024.10700108
- Address-based data processing over N-ary treesSklyarov, Valery; Skliarova, Iouliia; Kruus, Margus; Mihhailov, Dmitri; Sudnitsõn, AleksanderEuroCon 2013 : 01-04 July 2013, Zagreb, Croatia2013 / p. 1790-1797 : ill
- Addressing cross-coupling issues in magnetically integrated three-port DC-DC convertersCarvalho da Silva, Edivan Laercio; Blinov, Andrei; Sohail, Umer; Chub, Andrii2024 IEEE 21st International Power Electronics and Motion Control Conference (PEMC)2024 / 5 p https://doi.org/10.1109/PEMC61721.2024.10726373
- Adjoint polynomial formulas for nonlinear state-space realizationBelikov, Juri; Kotta, Ülle; Tõnso, MarisIEEE transactions on automatic control2014 / p. 256-261 https://doi.org/10.1109/TAC.2013.2270868 https://www.scopus.com/sourceid/17339 https://www.scopus.com/record/display.uri?eid=2-s2.0-84891599092&origin=inward&txGid=2fed25b583e3aabca53013d5fc88d1f7 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20AUTOMAT%20CONTR&year=2014 https://www.webofscience.com/wos/woscc/full-record/WOS:000330760400027
- Adjustable self-healing methodology for accelerated functions in heterogeneous systemsRiazati, Mohammad; Ghasempouri, Tara; Daneshtalab, Masoud; Raik, Jaan; Sjodin, Mikael; Lisper, Bjorn2020 23rd Euromicro Conference on Digital System Design (DSD), 26-28 August 2020, Kranj, Slovenia2020 / p. 638-645 https://doi.org/10.1109/DSD51259.2020.00104
- Adjusted electrical equivalent circuit model of induction motor with broken rotor bars and eccentricity faultsPetrov, Aleksei; Plokhov, Igor; Rassõlkin, Anton; Vaimann, Toomas; Kallaste, Ants; Belahcen, AnouarProceeding of the 2017 IEEE 11th International Symposium on Diagnostics for Electric Machines, Power Electronics and Drives (SDEMPED) : [Tinos (Greece), August 29 - September 01, 2017]2017 / p. 58-64 : ill https://doi.org/10.1109/DEMPED.2017.8062334
- Advanced condition monitoring method for high voltage overhead lines based on visual inspectionManninen, Henri; Kilter, Jako; Landsberg, Mart2018 IEEE Power & Energy Society General Meeting (PESGM 2018) : Portland, Oregon, USA, 5-10 August 20182018 / p. 2321-2325 : ill https://doi.org/10.1109/PESGM.2018.8586498
- Advanced methodology for estimation of value of lost load (VOLL) using equipment specific health indicesManninen, Henri; Kilter, Jako; Landsberg, Mart2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 6 p https://doi.org/10.1109/PQ.2019.8818245
- Advancements and restrictions of E-assessment in view of remote learning in engineeringRaud, Zoja; Vodovozov, Valery2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 6 p. : ill https://doi.org/10.1109/RTUCON48111.2019.8982349
- Aerial base station assisted cellular communication : performance and trade-offSharma, Navuday; Kumar, Atul; Alam, Muhammad Mahtab; Jindal, Anish; Imran, Muhammad AliIEEE Transactions on Network Science and Engineering2021 / p. 2765-2779 https://doi.org/10.1109/TNSE.2021.3052984 https://www.scopus.com/sourceid/21100372437 https://www.scopus.com/record/display.uri?eid=2-s2.0-85099726685&origin=inward&txGid=dd698f88d096da0b36953ebcdaa5ec39 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20NETW%20SCI%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000728929300007
- Aerial imagery terrain classification for long-range autonomous navigationHudjakov, Robert; Tamre, MartProceedings of International Symposium on Optomechatronic Technologies : ISOT 20092009 / p. 88-91 https://ieeexplore.ieee.org/document/5326104
- Against the flow : a Braitenberg controller for a fish robotSalumäe, Taavi; Rano, Inaki; Akanyeti, Otar; Kruusmaa, Maarja2012 IEEE International Conference on Robotics and Automation : ICRA : Saint Paul, Minnesota, USA, May 14-18, 20122012 / p. 4210-4215 : ill https://ieeexplore.ieee.org/document/6225023
- Agent-oriented knowledge elicitation for modeling the winning of "Hearts and Minds"Shvartsman, Inna; Taveter, KuldarProceedings of the Federated Conference on Computer Science and Information Systems : FedCSIS 2011 : Szczecin, Poland, 18-21 September 20112011 / p. 604-608 : ill https://kodu.ut.ee/~kuldarta/Papers/Fail_SK2011.pdf
- Agent-oriented modelling for simulation of complex environmentsShvartsman, Inna; Taveter, Kuldar; Parmak, Merle; Meriste, MerikProceedings of the International Multiconference on Computer Science and Information Technology (IMCSIT 2010) : Wisla, Poland, 18-20.10.20102010 / p. 209-216 : ill https://annals-csis.org/proceedings/2010/pliks/177.pdf
- Aggregated energy flexibility provision using residential heat pumpsPlaum, Freddy; Ahmadiahangar, Roya; Rosin, Argo2022 IEEE 16th International Conference on Compatibility, Power Electronics, and Power Engineering (CPE-POWERENG)2022 / 5 l https://doi.org/10.1109/CPE-POWERENG54966.2022.9880898
- Aggregator based coordinated Transactive Energy trading between MicrogridsCrasta, Cletus J.; Mishra, Sambeet; Agabus, Hannes; Palu, Ivo2020 International Conference on Smart Grids and Energy Systems (SGES)2020 https://doi.org/10.1109/SGES51519.2020.00166
- Aggregator index for 24-hour energy flexibility evaluation in an ADN including PHEVsRashtbaryan, A.; Gharehpetian, Gevork B.; Baghaee, H. R.; Ahmadiahangar, RoyaIEEE Access2024 / p. 16105-16116 https://doi.org/10.1109/ACCESS.2024.3353136
- Agility of capability development : the multiple-case study of Ericsson, Google, Microsoft and NokiaKolk, Alar; Rungi, MaitIEEM 2013 : 10-13 December 2013, Bangkok, Thailand : 2013 IEEE International Conference on Industrial Engineering and Engineering Management : [programme and abstracts]2013 / p. 53
- AI applications for power quality issues in distribution systems : A systematic reviewDehaghani, Mitra Nabian; Korõtko, Tarmo; Rosin, ArgoIEEE Access2025 / p. 18346-183652025 https://doi.org/10.1109/ACCESS.2025.3533702
- AI generated route data pre-processing for faster decision makingMaas, Rene; Ševtšenko, Eduard; Karaulova, Tatjana2024 8th International Young Engineers Forum on Electrical and Computer Engineering (YEF-ECE)2024 / p. 82-87 https://doi.org/10.1109/YEF-ECE62614.2024.10625086
- AI technologies and their applications in small-scale electric power systemsShahid, Arqum; Plaum, Freddy; Korõtko, Tarmo; Rosin, ArgoIEEE Access2024 / p. 109984-110001 https://doi.org/10.1109/ACCESS.2024.3440067
- Air-core coupled inductor based modular solid-state circuit breaker with reduced components for DC buildingsPogulaguntla, Aditya; Dsa, Daniel; Yagna, Griddaluru Venkata; Banavath, Satish Naik; Carvalho da Silva, Edivan Laercio; Chub, Andrii; Vinnikov, DmitriIEEE journal of emerging and selected topics in power electronics2024 / 12 p https://doi.org/10.1109/JESTPE.2024.3485735
- AIS data analysis: reality in the Sea of EchosVisky, Gabor; Rohl, Alexander; Katsikas, Sokratis; Maennel, Olaf Manuel2024 IEEE 49th Conference on Local Computer Networks (LCN)2024 / 7 p https://doi.org/10.1109/LCN60385.2024.10639765
- Algorithm for restructuring of structurally synthesized BDDsJürimägi, Lembit; Ubar, Raimund-Johannes2019 IEEE 31st International Conference on Microelectronics : Niš, Serbia September 16th-18th, 2019 : proceedings2019 / p. 239-242 : ill https://doi.org/10.1109/MIEL.2019.8889578
- Ameliorated quantum class topper optimization to solve economic load dispatch problemsGupta, Pradeep Kumar; Kumari Gupta, Anju; Tuttelberg, Kaur; Kilter, Jako2024 3rd International Conference on Energy Transition in the Mediterranean Area (SyNERGY MED)2024 / 5 p https://doi.org/10.1109/SyNERGYMED62435.2024.10799422
- American sign language character recognition using convolutional neural networksAbdullah, Atesam; Ali, Nisar; Ali, Raja Hashim; Abideen, Zain Ul; Ijaz, Ali Zeeshan; Bais, Abdul2023 IEEE Canadian Conference on Electrical and Computer Engineering (CCECE) : Regina, SK, Canada, 24-27 September 20232023 / p. 165-169 https://doi.org/10.1109/CCECE58730.2023.10288799
- An Accelerator-based architecture utilizing an efficient memory link for modern computational requirementsYousefzadeh, Saba; Basharkhah, Katayoon; Raik, Jaan; Jenihhin, Maksim2019 IEEE East-West Design & Test Symposium (EWDTS)2019 / 6 p. : ill https://doi.org/10.1109/EWDTS.2019.8884481
- An ad-hoc implementation of a remote laboratoryAzad, Siavoosh Payandeh; Kinks, Hannes; Tajammul, Muhammad Adeel; Ellervee, Peeter2015 International Conference on Microelectronic Systems Education : MSE '15 : Pittsburgh, PA, May 20-21, 20152015 / p. 48-51 : ill http://dx.doi.org/10.1109/MSE.2015.7160015
- An application - a web-based electrical drives laboratory : objectives, structure and experimentsRosin, Argo; Möller, Taavi; Hõimoja, HardiIECON 2009 : 35th Annual Conference of the IEEE Industrial Electronics Society. ICELIE 2009 : 3rd IEEE International Conference on E-learning in Industrial Electronics : Porto, Portugal, 3-5 November 2009 : abstracts2009 / p. 392 https://ieeexplore.ieee.org/document/5413215/
- An automatic approach to evaluate assertions' quality based on data-mining metricsGhasempouri, Tara; Niazmand, Behrad; Raik, JaanProceedings 2nd IEEE International Test Conference in Asia : ITC-Asia 2018, 15-17 August 2018, Harbin, China2018 / p. 61-66 : ill https://doi.org/10.1109/ITC-Asia.2018.00021
- An enhancement of the driver distraction detection and evaluation method based on computational intelligence algorithmsAksjonov, Andrei; Nedoma, Pavel; Vodovozov, Valery; Petlenkov, Eduard2018 IEEE 16th International Conference on Industrial Informatics (INDIN 2018) : Porto, Portugal, 18-20 July 20182018 / p. 201-206 : ill https://doi.org/10.1109/INDIN.2018.8472045
- An expectation-based approach to policy-based security of the Border Gateway ProtocolLi, Jun; Stein, Josh; Zhang, Mingwei; Maennel, Olaf Manuel2016 IEEE Conference on Computer Communications Workshops (INFOCOM WKSHPS) : GI 2016 : IEEE Global Internet Symposium : April 10-14, 2016, San Francisco, CA, USA2016 / p. 340-345 : ill https://doi.org/10.1109/INFCOMW.2016.7562098 https://www.scopus.com/sourceid/18204 https://www.scopus.com/record/display.uri?eid=2-s2.0-84988851027&origin=inward&txGid=6fad250da0a5c87c69bda2ee5d0cea8b https://www.webofscience.com/wos/woscc/full-record/WOS:000389210700063
- An external test approach for network-on-a-chip switchesRaik, Jaan; Govind, Vineeth; Ubar, Raimund-Johannes2002-2011 : 20th Anniversary compendium of papers from Asian Test Symposium2011 / p. 185-190 : ill
- An improved high-power DC/DC converter for distributed power Generation [Electronic resource]Vinnikov, Dmitri; Roasto, Indrek; Jalakas, TanelEPQU'09 : 10th International Conference on Electrical Power Quality and Utilisation : 15-17 September 2009, Lodz, Poland2009 / [6] p. [CD-ROM]
- An integrated approach for the identification of compact, interpretable and accurate Fuzzy rule-based classifiers from dataRiid, Andri; Rüstern, EnnuINES 2011 : 15th International Conference on Intelligent Engineering Systems : June 23–25, 2011, Poprad, Slovakia2011 / p. 101-107 : ill https://ieeexplore.ieee.org/document/5954728
- An interleaved ZVS high step-up converter for renewable energy systems applicationsNouri, Tohid; Branch, Sari; Shaneh, Mahdi; Benbouzid, Mohamed; Vosoughi Kurdkandi, NaserIEEE Transactions on Industrial Electronics2022 / p. 4786-4800 https://doi.org/10.1109/TIE.2021.3080211 https://www.scopus.com/sourceid/26053 https://www.scopus.com/record/display.uri?eid=2-s2.0-85107206777&origin=inward&txGid=9dbf76664063002c8d92ede29d91d4b3 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20IND%20ELECTRON&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000742200700048
- An IoT course program to foster the adoption of IoT driven food and agriculture in Sub-Saharan Africa (SSA)Kuaban, Godlove Suila; Nowak, Mateusz; Czekalski, Piotr; Tokarz, Krzysztof; Tangka, ulius Kewir; Siggursson, Kjartan; Nikitenko, Agris; Berkolds, Karlis; Sell, Raivo2022 International Conference on Electrical, Computer and Energy Technologies (ICECET)2022 / p. 1-7 https://doi.org/10.1109/ICECET55527.2022.9872825
- An ontology-based intelligent learning object for teaching the basics of digital logicRobal, Tarmo; Kann, Taavi; Kalja, Ahto2011 IEEE International Conference on Microelectronic Systems Education (MSE) : 5-6 June 2011, San Diego, CA, USA2011 / p. 106-107
- An output signal based combination of three LMS adaptive filtersTrump, Tõnu2013 18th International Conference on Digital Signal Processing (DSP) : [proceedings]2013 / [6 p.] : ill
- An output signal based combination of two NLMS adaptive algorithmsTrump, Tõnu16th International Conference on Digital Signal Processing : July 5-7, 2009, Santorini, Greece2009 / ? p https://ieeexplore.ieee.org/abstract/document/5201077
- An SVM scheme for three-level quasi-switched boost T-type inverter with Enhanced voltage gain and capacitor voltage balanceTran, Vinh-Thanh; Nguyen, Minh-Khai; Do, Duc-Tri; Vinnikov, DmitriIEEE transactions on power electronics2021 / p. 11499-11508 https://doi.org/10.1109/TPEL.2021.3071011 https://www.scopus.com/sourceid/26055 https://www.scopus.com/record/display.uri?eid=2-s2.0-85103878725&origin=inward&txGid=22597b22bf513a3c004e102f5548c278 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20POWER%20ELECTR&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000670630400052
- An ultrafast EV charging station demonstrator [Electronic resource]Hõimoja, Hardi; Rufer, Alfred; Dziechciaruk, Grzegorz; Vezzini, AndreaSPEEDAM 2012 : Sorrento (Italy) - June 20-22, 2012 : 21st edition of the International Symposium on Power Electronics, Electrical drives, Automation and Motion2012 / p. 1390-1395 : ill [CD-ROM] https://ieeexplore.ieee.org/document/6264617
- An XML-based test development and deployment framework for mixed-signal and digital devicesMellik, Andres; Raik, Jaan2008 IEEE AUTOTESTCON. Vols. 1 and 22008 / p. 193-196
- Analysis and categorization of enterprises for agent-based automationKirikal, Kristi; Taveter, Kuldar2010 19th IEEE International Workshop on Enabling Technologies: Infrastructures for Collaborative Enterprises (WETICE) : proceedings : Larissa, Greece, June 28-30, 20102010 / p. 29-34 : ill
- Analysis and design of asymmetric quad-active-bridge converterChub, Andrii; Costa, Levy; Liserre, MarcoIECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society : proceedings : China National Convetion Center, Beijing, China, 29. October - 01. November, 20172017 / p. 5367-5372 : ill https://doi.org/10.1109/IECON.2017.8216930
- Analysis and development of protection and control functions for Li-Ion based prosumers provided by low voltage part of distribution substation [Electronic resource]Korõtko, Tarmo; Mägi, Marek; Peterson, Kristjan; Teemets, Raivo; Pettai, ElmoCPE 2013 : 2013 International Conference on Compatibility and Power Electronics (CPE) : June 5-7, 2013, Ljubljana, Slovenia : conference proceedings2013 / p. 19-24 : ill [CD-ROM]
- Analysis and evaluation of PWM and PSM shoot-through control methods for voltage-fed qZSI based DC/DC convertersRoasto, Indrek; Vinnikov, DmitriEPE-PEMC 2010 : 14th International Power Electronics and Motion Control Conference : 6-8 September 2010, Ohrid, Republic of Macedonia2010 / p. T3-100 - T3-105
- Analysis and improvement of resilience for long short-term memory neural networksAhmadilivani, Mohammad Hasan; Raik, Jaan; Daneshtalab, Masoud; Kuusik, Alar2023 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)2023 https://doi.org/10.1109/DFT59622.2023.10313559
- Analysis and static mode optimization of simultaneous inductive and capacitive coupled wireless power transfer systemShevchenko, Viktor; Pakhaliuk, Bohdan; Husev, Oleksandr; Vinnikov, Dmitri; Strzelecki, Ryszard; Khomenko, Maksym2023 IEEE 64th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, October 9-10, 2023 : conference proceedings2023 / 5 p https://doi.org/10.1109/RTUCON60080.2023.10413112
- Analysis of a slow-speed slotless permanent magnet synchronous generatorTuttelberg, Kaur; Vaimann, Toomas; Kallaste, Ants4th International Youth Conference on Energy 2013 : [proceedings]2013 / p. 1-5 : ill
- Analysis of advanced passive heatsinks for electrical machines enabled by additive manufacturingSarap, Martin; Kallaste, Ants; Ghahfarokhi, Payam Shams; Vaimann, Toomas2023 IEEE Workshop on Electrical Machines Design, Control and Diagnosis (WEMDCD) : proceedings2023 / 6 p. : ill https://doi.org/10.1109/WEMDCD55819.2023.10110940
- Analysis of black start strategies for microgrids with renewable distributed generationArmstorfer, Andreas; Biechl, Helmuth; Rosin, ArgoIECON 2019 - 45th Annual Conference of the IEEE Industrial Electronics Society : proceedings2019 / p. 2121–2125 : ill https://doi.org/10.1109/IECON.2019.8926631 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85084108300&origin=inward&txGid=9faf155edf283e4af00deb506cfcc9f5 https://www.webofscience.com/wos/woscc/full-record/WOS:000522050602021
- Analysis of buck mode realization possibilities in quasi-Z-source DC-DC converters with voltage doubler rectifierZakis, Janis; Rankis, Ivars; Liivik, Liisa; Chub, Andrii2015 IEEE 5th International Conference on Power Engineering, Energy and Electrical Drives (POWERENG) : proceedings : May 11-13, 2015, Riga, Latvia2015 / p. 570-575 : ill http://dx.doi.org/10.1109/PowerEng.2015.7266379
- Analysis of capacitor-related mid-voltage point shift problems in high-voltage half-bridge DC/DC convertersRoasto, Indrek; Vinnikov, Dmitri; Lehtla, TõnuProceedings of 2008 IEEE 39th Annual Power Electronics Specialists Conference : PESC 2008 : Rhodes, Greece, 15-19 June 20082008 / p. 3619-3622
- Analysis of common mode and rapidly varying voltage profile on stator current harmonics of an inverter-fed induction motorSardar, Muhammad Usman; Vaimann, Toomas; Kütt, Lauri; Kallaste, Ants; Asad, Bilal; Kudelina, Karolina; Akbar, Siddique2023 IEEE 64th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, October 9-10, 2023 : conference proceedings2023 / 6 p. : ill https://doi.org/10.1109/RTUCON60080.2023.10413067
- An analysis of community structure detection for educational coopetitionÜbi, Jaan; Liiv, Innar; Übi, Evald; Võhandu, Leo2013 Second International Conference on e-Learning and e-Technologies in Education (ICEEE 2013) : proceedings of a meeting held 23-25 September 2013, Lodz, Poland2013 / p. 104-109 : ill
- Analysis of competency-based learning - 6 years laterUmbleja, Kadri; Kukk, Vello; Jaanus, MartinProceedings of 2017 IEEE Global Engineering Education Conference (EDUCON) : 25-28 April 2017, Athens, Greece2017 / p. 165-174 : ill https://doi.org/10.1109/EDUCON.2017.7942843
- Analysis of consumer energy flexibility and applicability for power systemMetsik, Merilin; Rosin, Argo; Maask, Vahur; Agabus, Hannes2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 6 p https://doi.org/10.1109/RTUCON62997.2024.10830882
- Analysis of cost function composition based on the horizon time prediction of an indirect MPC current control in single-phase grid-connected PV invertersPimentel, Sergio Pires; Husev, Oleksandr; Vinnikov, Dmitri; Stepenko, Serhii2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 6 p. : ill https://doi.org/10.1109/RTUCON48111.2019.8982377
- Analysis of design requirements and optimization possibilities of partial power converter for photovoltaic string applications in DC microgridsChub, Andrii; Hassanpour, Naser; Yadav, Neelesh; Jalakas, Tanel; Blinov, Andrei; Vinnikov, DmitriIEEE Access2024 / p. 14605-14619 https://doi.org/10.1109/ACCESS.2024.3354375
- Analysis of electromagnetic force ripple in a bearingless synchronous reluctance motorMukherjee, Victor; Rasilo, Paavo; Martin, Floran; Belahcen, AnouarIEEE transactions on magnetics2021 / art. 9277612, 8 p. : ill https://doi.org/10.1109/TMAG.2020.3041703 https://www.scopus.com/sourceid/17364 https://www.scopus.com/record/display.uri?eid=2-s2.0-85097369174&origin=inward&txGid=4eb409d206d429bd00e9e7650bd3dcc1 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20MAGN&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000611096900159
- Analysis of harmonic current stability and summation of LED lampsJarkovoi, Marek; Iqbal, Muhammad Naveed; Kütt, Lauri2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 8 p. : ill https://doi.org/10.1109/PQ.2019.8818237
- Analysis of holdup time for DC grid-forming isolated active front-end convertersCarvalho da Silva, Edivan Laercio; Blinov, Andrei; Chub, Andrii; Vinnikov, DmitriIECON 2022 - 48th Annual Conference of the IEEE Industrial Electronics Society2022 / p. 1-6 https://doi.org/10.1109/IECON49645.2022.9969075 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85142365447&origin=inward&txGid=0ad6f6af910a92c6bbf816aa77affefa
- Analysis of keyword spotting performance across IARPA Babel languagesHartmann, William; Karakos, Damianos; Hsiao, Roger; Zhang, Le; Alumäe, Tanel; Tsakalidis, Stavros; Schwartz, Richard2017 IEEE International Conference on Acoustics, Speech, and Signal Processing : proceedings : March 5-9, 2017, Hilton New Orleans Riverside, New Orleans, Louisiana, USA2017 / p. 5765-5769 : ill https://doi.org/10.1109/ICASSP.2017.7953261 https://www.scopus.com/sourceid/110544 https://www.scopus.com/record/display.uri?eid=2-s2.0-85023779837&origin=inward&txGid=2cfc6ffbd2a74b743f6c4cf53aaa7036 https://www.webofscience.com/wos/woscc/full-record/WOS:000414286205185
- Analysis of microgrid configuration effects on energy efficiencyPeterson, Kristjan; Ahmadiahangar, Roya; Shabbir, Noman; Vinnal, Toomas2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 6 p. : ill https://doi.org/
- Analysis of off-grid power supply for sparsley populated areasVaimann, Toomas; Janson, Kuno; Bolgov, Viktor; Kallaste, Ants14th International Scientific Conference Electric Power Engineering 2013 : EPE 2013 : [proceedings]2013 / p. 175-179 : ill
- Analysis of operating modes of the novel isolated interface converter for PMSG based wind turbinesBisenieks, Lauris; Vinnikov, Dmitri; Zakis, JanisPOWERENG2011 : proceedings of the 2011 International Conference on Power Engineering, Energy and Electrical Drives : Torremolinos (Málaga), Spain, May 11-13, 20112011 / [8] p.: ill https://ieeexplore.ieee.org/document/6036538
- Analysis of oscillation suppression methods in the AC-AC stage of high frequency link convertersKorkh, Oleksandr; Blinov, Andrei; Vinnikov, Dmitri2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 5 p. : ill https://doi.org/10.1109/RTUCON48111.2019.8982259
- Analysis of the applicability of PMU measurements for power quality assessmentLöper, Mari; Trummal, Tarmo; Kilter, Jako2018 IEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT-Europe), Sarajevo, Bosnia and Herzegovina, October 21-25, 2018 : proceedings2018 / 6 p https://doi.org/10.1109/ISGTEurope.2018.8571618
- Analysis of the program for continuing education of technical teachers on the basis of graduates' feedback resultsRüütmann, Tiia; Kipper, HantsProceedings of 2014 International Conference on Interactive Collaborative Learning (ICL) : 03-06 December 2014, Dubai, UAE2014 / p. 7-12 : ill
- Analysis of the use of supercapacitors and batteries as energy storage elements for off-grid hybrid photovoltaic invertersGonschorowski, E.; Cardoso, Rafael; Carvalho da Silva, Edivan Laercio; de Oliveira Stein, Carlos Marcelo; Carati, Emerson Giovani; Denardin, Gustavo Weber; da Costa, Jean Patric2023 IEEE 8th Southern Power Electronics Conference and 17th Brazilian Power Electronics Conference (SPEC/COBEP)2023 / 7 p https://doi.org/10.1109/SPEC56436.2023.10407182
- Analysis of the vibration magnitude of an induction motor with different numbers of broken barsMartinez, Javier; Belahcen, Anouar; Muetze, AnnetteIEEE transactions on industry applications2017 / p. 2711-2720 : ill https://doi.org/10.1109/TIA.2017.2657478 https://www.scopus.com/sourceid/17361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85027727225&origin=inward&txGid=c7179cf9fa2c188e9075c2760edd8201 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20IND%20APPL&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000402062600102
- Analysis of transmission network short cable line sheath bonding methodsKangro, Triin; Kilter, Jako2018 IEEE International Conference on Environment and Electrical Engineering and 2018 IEEE Industrial and Commercial Power Systems Europe (EEEIC / I&CPS Europe), 12-15 June 2018 : conference proceedings2018 / 6 p.: ill https://doi.org/10.1109/EEEIC.2018.8493944
- Analysis of ventilation load flexibility depending on indoor climate conditionsMaask, Vahur; Häring, Tobias; Ahmadiahangar, Roya; Rosin, Argo; Korõtko, Tarmo2020 IEEE International Conferenceon Industrial Technology, Buenos Aires Institute of Technology (ITBA) Buenos Aires, Argentina, 26-28 February, 2020 : proceedings2020 / p. 607-612 https://doi.org/10.1109/ICIT45562.2020.9067153 https://www.scopus.com/sourceid/84128 https://www.scopus.com/record/display.uri?eid=2-s2.0-85084127280&origin=inward&txGid=0a86372159bd82b7bf888f24ea3f2af7 https://www.webofscience.com/wos/woscc/full-record/WOS:000560681600092
- Analyzing and investigating encrypted traffic for social media application InstagramIqbal, Hameed; Ahmad, Rizwan; Ahmed, Waqas; Qazi, Shams; Alam, Muhammad Mahtab2022 18th Biennial Baltic Electronics Conference (BEC)2022 / 6 p. : ill https://doi.org/10.1109/BEC56180.2022.9935603
- Analyzing side-channel attack vulnerabilities at RTLLai, Xinhui; Jenihhin, Maksim2023 IEEE 24th Latin American Test Symposium (LATS)2023 / 2 p. : ill https://doi.org/10.1109/LATS58125.2023.10154497
- Analyzing the dynamics and stability of DQ0 systems based on a Port-Hamiltonian approachLevron, Yoash; Kaparin, Vadim; Belikov, Juri2019 27th Mediterranean Conference on Control and Automation (MED)2019 / p. 410-415 : ill https://doi.org/10.1109/MED.2019.8798495
- An analytic model for fault diagnosis in power distribution systems considering unreliable alarmsWang, Chongyu; Pang, Kaiyuan; Zhang, Yong; Wen, Fushuan; Palu, Ivo; Xiong, Wen; Chen, Minghui; Shang, Huiyu2020 IEEE Power & Energy Society General Meeting (GM 2020)2020 / 5 l https://doi.org/10.1109/PESGM41954.2020.9281468
- Analytical evaluation of indoor energy harvesting technologies for WSNs with FYPSim frameworkAhmed, Faisal; Le Moullec, Yannick; Annus, Paul; Mustufa, Y. S. Ashad2016 International Conference on Industrial Informatics and Computer Systems (CIICS) : March 13-15, 2016, Dubai, UAE2016 / [6] p. : ill https://doi.org/10.1109/ICCSII.2016.7462423
- Analytical model including rotor eccentricity for bearingless synchronous reluctance motorsSaarakkala, Seppo E.; Mukherjee, Victor; Belahcen, Anouar2018 XIII International Conference on Electrical Machines (ICEM 2018) : Alexandroupoli, Greece, 3-6 September 20182018 / p. 1388-1394 : ill https://doi.org/10.1109/ICELMACH.2018.8506892
- Animation in Conrad: informal analysis of timing propertiesKaldma, Tarmo; Mõtus, Leo; Paluoja, Rein; Tekko, Jaanus; Tommingas, ToomasProceedings : Sixth Euromicro Workshop on Real-Time Systems : June 15-17, 1994, Vaesteraas, Sweden1994 / p. 220-225
- Anisotropic and strain-dependent model of magnetostriction in electrical steel sheetsBelahcen, Anouar; Singh, Deepak; Rasilo, Paavo; Martin, Floran; Ghalamestani, Setareh Gorji; Vandevelde, LievenIEEE transactions on magnetics2015 / p. 200-204 : ill https://doi.org/10.1109/TMAG.2014.2361681 https://www.scopus.com/sourceid/17364 https://www.scopus.com/record/display.uri?eid=2-s2.0-84928813895&origin=inward&txGid=2a41d502cf373b78487012d65499f15b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20MAGN&year=2015 https://www.webofscience.com/wos/woscc/full-record/WOS:000353626200005
- ANN-LSTM based tool for photovoltaic power forecastingZahraoui, Younes; Korõtko, Tarmo; Mekhilef, Saad; Rosin, Argo2024 4th International Conference on Smart Grid and Renewable Energy (SGRE) : proceedings2024 / 6 p. : ill https://doi.org/10.1109/SGRE59715.2024.10428969
- Antidictionary-based cardiac arrhythmia classification for smart ECG sensorsDuforest, Julien; Larras, Benoit; Frappe, Antoine; Deepu, John Deepu; Märtens, Olev2022 IEEE International Symposium on Circuits and Systems (ISCAS) : proceedings2022 / p. 414-418 : ill https://doi.org/10.1109/ISCAS48785.2022.9937853 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85142494141&origin=inward&txGid=8a89c4f339ede97f7049137ce9d90d9d https://www.webofscience.com/wos/woscc/full-record/WOS:000946638600088
- An application of a low-cost microwave radar to traffic monitoring [Online resource]Riid, Andri; Kaugerand, Jaanus; Ehala, Johannes; Jaanus, Martin; Preden, Jürgo-SörenBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p.: ill https://doi.org/10.1109/BEC.2018.8600962
- Application of an advanced repetitive controller to mitigate harmonics in MMC with APOD schemeMadichetty, Sreedhar; Dasgupta, Abhijit; Mishra, Sambeet; Panigrahi, Chinmoy Kumar; Basha, GhouseIEEE transactions on power electronics2016 / p. 6112-6121 : ill https://doi.org/10.1109/TPEL.2015.2501314 https://www.scopus.com/sourceid/26055 https://www.scopus.com/record/display.uri?eid=2-s2.0-84963753453&origin=inward&txGid=19a664a840be4cc26647dfec74a4c3ea https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20POWER%20ELECTR&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000373101800008
- Application of boundary conduction mode control in galvanically isolated buck-boost converterMashinchi Maheri, Hamed; Vinnikov, Dmitri; Chub, Andrii3rd International Conference on Smart Grid and Renewable Energy (SGRE)2022 / p. 1-6 https://doi.org/10.1109/SGRE53517.2022.9774105
- Application of cycle skipping modulation in buck-boost photovoltaic microconvertersMaheri, Hamed Mashinchi; Vinnikov, Dmitri; Chub, Andrii; Sidorov, Vadim; Galkin, IljaIEEE transactions on industry applications2022 / p. 4804-4815 https://doi.org/10.1109/TIA.2022.3163083 https://www.scopus.com/sourceid/17361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85127463103&origin=inward&txGid=73ed6e155c7924e18204b090d8bc90f5 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20IND%20APPL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000838527800055
- Application of extensible processing platforms for experiments with FPGA-based circuitsSklyarov, Valery; Skliarova, Iouliia; Silva, João; Rjabov, Artjom; Sudnitsõn, AleksanderMELECON 2014 : 2014 17th IEEE Mediterranean Electrotechnical Conference : 13-16 April 2014, Beirut, Lebanon2014 / p. 467-471 : ill
- Application of genetic algorithms to neural networks based control of a liquid level tank systemVassiljeva, Kristina; Belikov, Juri; Petlenkov, Eduard2014 International Joint Conference on Neural Networks (IJCNN) : July 6-11, 2014, Beijing, China2014 / p. 2525-2530 : ill
- Application of MPC to industrial water boiler control system in district heat plantVansovitš, Vitali; Petlenkov, Eduard; Vassiljeva, Kristina; Tepljakov, Aleksei; Belikov, Juri2014 13th International Conference on Control, Automation, Robotics & Vision (ICARCV 2014) : Marina Bay Sands, Singapore, 10-12th December 20142014 / p. 1609-1614 : ill
- Application of neural networks based SANARX model for identification and control liquid level tank systemBelikov, Juri; Nõmm, Sven; Petlenkov, Eduard; Vassiljeva, Kristina2013 12th International Conference on Machine Learning and Applications : ICMLA 2013 : 4-7 December 2013, Miami, Florida, USA : proceedings. Vol. 12013 / p. 246-251 : ill
- Application of sequential test set compaction to LFSR reseedingAleksejev, Igor; Jutman, Artur; Raik, Jaan; Ubar, Raimund-Johannes26th Norchip Conference : Tallinn, Estonia, 17-18 November 2008 : formal proceedings2008 / p. 102-107 : ill http://dx.doi.org/10.1109/NORCHP.2008.4738292
- Application of the LSTM models for Baltic Sea wave spectra estimationSimon, Martin; Rikka, Sander; Nõmm, Sven; Alari, VictorIEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing2023 / p. 83-88 : ill https://doi.org/10.1109/JSTARS.2022.3220882 https://www.scopus.com/sourceid/17700155033 https://www.scopus.com/record/display.uri?eid=2-s2.0-85141562410&origin=inward&txGid=46fa8df2ac53c087b3cf16f4d138b419 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20J-STARS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000894925400006
- Application of transfer learning for instrument transformer condition monitoringAsefi, Sajjad; Kilter, Jako; Akroud, Nabil; Hurtado, Aritz; Gilbert, Ian; Orue, Inaki2024 International Conference on Diagnostics in Electrical Engineering (Diagnostika)2024 / 4 p https://doi.org/10.1109/Diagnostika61830.2024.10693903
- Application of volume bounding box decomposition for surgeon’s hand gestures recognitionNõmm, Sven; Leibak, Alar; Vain, Jüri; Miyawaki, FujioIECON 2010 : 36th Annual Conference on IEEE Industrial Electronics Society : Glendale, Arizona, USA, 07 - 10 November, 2010 : proceedings2010 / p. 1076-1080 : ill https://ieeexplore.ieee.org/document/5675518
- Application of volume bounding box decomposition for surgeon's hand gestures recognitionNõmm, Sven; Leibak, Alar; Vain, Jüri; Miyawaki, FujioAbstracts IECON 2010 - ICELIE 2010 : 36th Annual Conference of the IEEE Industrial Electronics Society, 4th International Conference on E-Learning in Industrial Electronics, IES Industry Forum 2010 : Arizona, USA, 7-10 November 20102010 / p. 102 https://ieeexplore.ieee.org/document/5675518
- Application specific true critical paths identification in sequential circuitsJürimägi, Lembit; Ubar, Raimund-Johannes; Jenihhin, Maksim; Raik, Jaan; Devadze, Sergei; Oyeniran, Adeboye Stephen2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS 2019) : 1-3 July 2019, Greece2019 / p. 299-304 : ill https://doi.org/10.1109/IOLTS.2019.8854442
- Applications of digital twins for demand side recommendation scheme with consumer comfort constraintsOnile, Abiodun Emmanuel; Belikov, Juri; Petlenkov, Eduard; Levron, YoashIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2023) : proceedings2023 / 5 p https://doi.org/10.1109/ISGTEUROPE56780.2023.10407399
- Application-specific hardware accelerator for implementing recursive sorting algorithmsMihhailov, Dmitri; Sklyarov, Valery; Skliarova, Iouliia; Sudnitsõn, AleksanderProceedings of the IEEE International Conference on Field Programmable Technology (FPT'10) : Beijing, China Dec. 8-10, 20102010 / p. 269-272 : ill https://ieeexplore.ieee.org/document/5681486
- Applying reinforcement learning method for real-time energy managementBorhan Dayani, Aida; Fazlollahtabar, Hamed; Ahmadiahangar, Roya; Rosin, Argo2019 IEEE International Conference on Environment and Electrical Engineering and 2019 IEEE Industrial and Commercial Power Systems Europe (EEEIC / I&CPS Europe)2019 / 5 p. : ill https://doi.org/10.1109/EEEIC.2019.8783766
- Applying RIS-based communication for collaborative computing in a swarm of dronesRahbari, Dadmehr; Alam, Muhammad Mahtab; Le Moullec, Yannick; Jenihhin, MaksimIEEE Access2023 / p. 70093−70109 https://doi.org/10.1109/ACCESS.2023.3293737 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85164716802&origin=inward&txGid=707ff497034574a8b2c2dba17f69f0d8 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001030597700001
- APPRAISER : DNN fault resilience analysis employing approximation errorsTaheri, Mahdi; Ahmadilivani, Mohammad Hasan; Jenihhin, Maksim; Raik, Jaan; Daneshtalab, Masoud2023 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS)2023 / p. 124−127 https://ddecs2023.taltech.ee/ https://doi.org//10.1109/DDECS57882.2023.10139468
- Approaches for improving VoIP QoS in WMNsMeeran, Mohammad Tariq; Annus, Paul; Le Moullec, Yannick2017 International Conference on Electrical Engineering and Computer Science (ICECOS 2017) : Palembang, Indonesia, 22-23 August 20172017 / p. 22-27 : ill https://doi.org/10.1109/ICECOS.2017.8167138
- APRICOT : a framework for teaching digital systems verificationRaik, Jaan; Jenihhin, Maksim; Tšepurov, Anton; Reinsalu, Uljana; Ubar, Raimund-Johannes19th EAEEIE Annual Conference : June 29-July 2, 2008, Tallinn, Estonia : formal proceedings2008 / p. 172-177 : ill http://dx.doi.org/10.1109/EAEEIE.2008.4610181
- Architectural design space exploration of an FPGA-based compressed sampling engine : application to wireless heart-rate monitoringEl-Sayed, Mohammad; Koch, Peter; Le Moullec, Yannick2015 Nordic Circuits and Systems Conference (NORCAS) : NORCHIP & International Symposium on System-on-Chip (SoC) : 1st IEEE NORCAS Conference : 26-28 October 2015, Oslo, Norway2015 / [5] p. : ill http://dx.doi.org/10.1109/NORCHIP.2015.7364369
- Architectural solutions for high-speed data processing demands of CERN LHC detectors with FPGA and high-level synthesisDevadze, Sergei; Nielsen, Christine Elizabeth; Mihhailov, Dmitri; Ellervee, Peeter2024 IEEE Nordic Circuits and Systems Conference (NorCAS)2024 https://doi.org/10.1109/NorCAS64408.2024.10752490 https://www.scopus.com/record/display.uri?eid=2-s2.0-85211955157&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE%28%22Architectural+Solutions+for+High-Speed+Data+Processing+Demands+of+CERN+LHC+Detectors+with+FPGA+and+High-Level+Synthesis%22%29&sessionSearchId=fa5e22e6b6020c2cc124a3eadf2fa9d1&relpos=0
- An area aware accelerator for elliptic curve point multiplicationImran, Malik; Pagliarini, Samuel Nascimento; Rashid, Muhammad Haroon27th IEEE International Conference on Electronics Circuits and Systems, (ICECS) 2020, Glasgow, UK, Virtual Conference, November 23-25, 2020 : proceedings2020 / 4 p https://doi.org/10.1109/ICECS49266.2020.9294908
- Area efficient hexadecimal divider circuit implementation based on USP-awadhoot division algorithmPatankar, Udayan Sunil; Koel, Ants; Patankar, Sunil M.; Flores, Miguel E.IEEE International Conference on Engineering, Technology and Innovation (ICE/ITMC)2021 / p. 1-8 https://doi.org/10.1109/ICE/ITMC52061.2021.9570263
- Artificial Hummingbird Algorithm For Optimal Reconfiguration Of Electrical Distribution networksZahraoui, Younes; Bouhanik, Anes; Korõtko, Tarmo; Rosin, Argo; Mekhilef, Saad2024 IEEE 22nd Mediterranean Electrotechnical Conference (MELECON)2024 / p. 225-229 https://doi.org/10.1109/MELECON56669.2024.10608525
- Artificial intelligence in monitoring and diagnostics of electrical energy conversion systemsVaimann, Toomas; Rassõlkin, Anton; Kallaste, Ants; Pomarnacki, Raimondas; Belahcen, Anouar; Van Khang, Hyunh2020 27th International Workshop on Electric Drives: MPEI Department of Electric Drives 90th Anniversary (IWED), Moscow, Russia, January 27-30, 20202020 / 4 p https://doi.org/10.1109/IWED48848.2020.9069566
- Artificial Intelligence in the hierarchical control of ac, dc and hybrid ac/dc microgrids – A reviewGutiérrez-Escalona, J.; Roncero-Clemente, C.; Husev, Oleksandr; Matiushkin, Oleksandr; Blaabjerg, FredeIEEE Access2024 / 20 p https://doi.org/10.1109/ACCESS.2024.3486382
- Artificial intelligence methods for data based modeling and analysis of complex processes : real life examples [Online resource]Vassiljeva, Kristina; Petlenkov, Eduard; Vansovitš, Vitali; Tepljakov, AlekseiProceedings of the 2016 IEEE First International Conference on Data Stream Mining & Processing (DSMP) : Lviv, Ukraine, August 23-27, 20162016 / p. 363-368 : ill https://doi.org/10.1109/DSMP.2016.7583579
- Artificial intelligence tools for university fundraising 5.0 : a comprehensive analysisCherniavska, Olena; Belov, Alexandr; Shmygol, Nadiia; Järvis, Marina; Cherniavska, Oleksandra; Tsalko, Tetiana2023 IEEE 5th International Conference on Modern Electrical and Energy System (MEES) : proceedings2023 / 6 p https://doi.org/10.1109/MEES61502.2023.10402529
- ARTmine : automatic association rule mining with temporal behavior for hardware verificationHeidari Iman, Mohammad Reza; Jervan, Gert; Ghasempouri, Tara2024 Design, Automation & Test in Europe Conference & Exhibition (DATE) : Valencia, Spain, 25-27 March 2024 : proceedings2024 / p. 1-6 : ill https://ieeexplore.ieee.org/document/10546742
- Assessing demand side flexibility with renewable energy resources [Electronic resource]Mishra, Sambeet; Koduvere, Hardi; Palu, Ivo; Kuhi-Thalfeldt, Reeli; Rosin, Argo2016 IEEE 16th International Conference on Environment and Electrical Engineering (EEEIC) : 7-10 June 2016, Florence, Italy : conference proceedings2016 / [6] p. : ill. [CD-ROM] https://doi.org/10.1109/EEEIC.2016.7555546
- Assessing energy generation and consumption patterns in times of crisis : COVID-19 as a case studyOfir, Ron; Zargari, Noa; Levron, Yoash; Belikov, Juri2021 IEEE Madrid PowerTech2021 / 6 l https://doi.org/10.1109/PowerTech46648.2021.9494753
- Assessing interaction mechanics in extended reality for control engineering applicationsRemenyi, Armin; Alsaleh, Saleh; Tepljakov, Aleksei2024 32nd International Conference on Software, Telecommunications and Computer Networks, SoftCOM 20242024 https://doi.org/10.23919/SoftCOM62040.2024.10721783 https://www.scopus.com/record/display.uri?eid=2-s2.0-85208824990&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.23919%2FSoftCOM62040.2024.10721783%29&sessionSearchId=9d2463a4c393c098eafee8de7d14eac0&relpos=0
- Assessing the Baltic Sea Water Quality with Sentinel-3 OLCI ImageryKutser, Tiit; Soomets, Tuuli; Toming, Risto; Uiboupin, Rivo; Arikas, Age; Vahter, Kaimo; Paavel, Birgot2018 IEEE/OES Baltic International Symposium (BALTIC 2018) : Klaipeda, Lithuania 12 - 15 June 20182018 / p. 52-57 : ill https://doi.org/10.1109/BALTIC.2018.8634849
- Assessment of data acquisition system for forecasting temperature and solar irradiationZahraoui, Younes; Korõtko, Tarmo; Mekhilef, Saad; Alhamrouni, Ibrahim2023 International Conference on Engineering Technology and Technopreneurship (ICE2T)2024 / p. 408-413 https://doi.org/10.1109/ICE2T58637.2023.10540516
- Assessment of diagnostic test for automated bug localizationTihhomirov, Valentin; Tšepurov, Anton; Jenihhin, Maksim; Raik, Jaan; Ubar, Raimund-JohannesLATW2013 : 14th IEEE Latin-American Test Workshop, Cordoba, Argentina, April 3-5, 2013 : [proceedings]2013 / [6] p. : ill
- Assessment of higher harmonics influence to PMU measurement accuracyLöper, Mari; Salumäe, Uku; Kilter, Jako2017 IEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT-Europe) : Torino, Italy 26-29 September 2017 : Conference Proceedings2017 / 6 p. : ill https://doi.org/10.1109/ISGTEurope.2017.8260271
- Assessment of mixed energy storage system considering high spatial resolution data from a real PV installationRogowski, Szymon; Hasan, Sayeed; Chub, Andrii; Sibinski, Maciej2024 19th Biennial Baltic Electronics Conference (BEC)2024 / 6 p https://doi.org/10.1109/BEC61458.2024.10737964
- Assessment of pedestrian crossings measuring parameters and implementation of new measuring methods in EstoniaVarjas, Toivo; Kuusik, Marko; Armas, Jelena; Rosin, Argo59th Annual International Scientific Conference on Power and Electrical Engineering : November 12, 13, 2018, Riga Technical University (RTUCON) : conference proceedings2018 / 4 p. : ill https://doi.org/10.1109/RTUCON.2018.8659821
- Assessment of voltage instrument transformers accuracy for harmonic measurements in transmission systems [Online resource]Stiegler, Robert; Meyer, Jan; Kilter, Jako; Konzelmann, SimonProceedings of 2016 17th International Conference on Harmonics and Quality of Power (ICHQP) : Belo Horizonte, Minas Gerais, Brazil, 16-19 October 20162016 / p. 152-157 : ill https://doi.org/10.1109/ICHQP.2016.7783472
- Asset management software implementation challenges for electricity companiesKangilaski, TaivoProceedings : IECON 2009 : 35th Annual Conference of the IEEE Industrial Electronics Society : Porto, Portugal, 3-5 November, 20092009 / p. 3611-3616
- Asymmetrical PWM control of galvanically isolated impedance-source series resonant DC-DC convertersVinnikov, Dmitri; Zakis, Janis; Chub, Andrii; Liivik, Elizaveta2016 10th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : proceedings : Opera Nova's Congress Center, Bydgoszcz, Poland, 29. June - 01. July, 20162016 / p. 341-346 : ill https://doi.org/10.1109/CPE.2016.7544211
- Asymmetrical quasi-Z-source half-bridge DC-DC convertersVinnikov, Dmitri; Chub, Andrii; Liivik, Liisa2015 9th International Conference on Compatibility and Power Electronics (CPE) : proceedings : Faculty of Science and Technology (FCT), Caparica, Lisbon, Portugal, 24-26 June, 20152015 / p. 369-372 : ill http://dx.doi.org/10.1109/CPE.2015.7231103
- At-speed on-chip diagnosis of board-level interconnect faultsJutman, ArturNinth IEEE European Test Symposium : ETS 2004 : 23-26 May 2004, Corsica, France : proceedings2004 / p. 2-7 : ill https://www.researchgate.net/publication/4098807_At-speed_on-chip_diagnosis_of_board-level_interconnect_faults
- At-speed self-testing of high-performance pipe-lined processing architectures [Electronic resource]Gorev, Maksim; Ubar, Raimund-Johannes; Ellervee, Peeter; Devadze, Sergei; Raik, Jaan; Min, Mart31st Norchip Conference : Vilnius, Lithuania, 11-12 November 2013 : conference program and papers2013 / p. 1-6 : ill [USB]
- Automated area and coverage optimization of minimal latency checkersAzad, Siavoosh Payandeh; Niazmand, Behrad; Apneet Kaur; Raik, Jaan; Jervan, Gert; Hollstein, Thomas2017 22nd IEEE European Test Symposium (ETS 2017), Limassol, Cyprus, 22 – 26 May 2017 : proceedings2017 / p. 7-8 : ill https://doi.org/10.1109/ETS.2017.7968211
- An automated configuration framework for TSN networksHoutan, Bahar; Bergström, Albert; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, Saad2021 22nd IEEE International Conference on Industrial Technology (ICIT) : proceedings2021 / p. 771-778 https://doi.org/10.1109/ICIT46573.2021.9453628
- Automated correction of design errors by edge redirection on high-level decision diagramsKarputkin, Anton; Ubar, Raimund-Johannes; Tombak, Mati; Raik, Jaan13th International Symposium on Quality Electronic Design (ISQED), 20122012 / p. 686-693 : ill https://ieeexplore.ieee.org/document/6113980
- Automated fault diagnosis for an autonomous underwater vehicleDearden, Richard; Ernits, Juhan-PeepIEEE journal of oceanic engineering2013 / p. 484-499 : ill https://doi.org/10.1109/JOE.2012.2227540 https://www.scopus.com/sourceid/17277 https://www.scopus.com/record/display.uri?eid=2-s2.0-84880569717&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FJOE.2012.2227540%29&sessionSearchId=c42962733a94ae09ac921c72c691ac9c&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20J%20OCEANIC%20ENG&year=2013 https://www.webofscience.com/wos/woscc/full-record/WOS:000321925500008
- Automated minimization of concurrent online checkers for network-on-chipsSaltarelli, Pietro; Niazmand, Behrad; Hariharan, Ranganathan; Raik, Jaan; Jervan, Gert; Hollstein, Thomas10th International Symposium on Reconfigurable and Communication-centric Systems-on-Chip (ReCoSoC 2015) : Bremen, 29 June - 1 July 20152015 / [8] p. : ill http://dx.doi.org/10.1109/ReCoSoC.2015.7238079
- Automatic generation control of a future multisource power system considering high renewables penetration and electric vehicles: Egyptian Power System in 2035Nour, Morsy; Magdy, Gaber; Chaves-Avila, Jose Pablo; Sanchez-Miralles, Alvaro; Petlenkov, EduardIEEE Access2022 / p. 51662-51681 : ill https://doi.org/10.1109/ACCESS.2022.3174080 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85130844232&origin=inward&txGid=b474982901b5d8e788e3385f49840145 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000797420800001
- Automatic GUI model generation : state of the artKull, AndresISSREW 2012 : 23rd IEEE International Symposium on Software Reliability Engineering Supplemental Proceedings : 27-30 November 2012, Dallas, Texas, USA2012 / p. 207-212 https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=6405443
- Automatic NLOS classification from virtual 3D city modelsMüürsepp, Ivo; Alam, Muhammad Mahtab2023 Eighth International Conference on Fog and Mobile Edge Computing (FMEC)2023 / p. 190-195 https://doi.org/10.1109/FMEC59375.2023.10306158
- Automatic position detection and transmitting activation of dynamic wireless power transfer system with air capacitorPakhaliuk, Bohdan; Husev, Oleksandr; Shevchenko, Viktor; Kroics, Kaspars; Stepins, Deniss; Strzelecki, RyszardWireless Power Week (WPW) : Proceedings2022 / p. 487-491 https://doi.org/10.1109/WPW54272.2022.9853972
- Automatic synthesis of asynchronous circuits from synchronous RTL descriptionsÖberg, Johnny; Plosila, Juha; Ellervee, PeeterProceedings 23rd NORCHIP Conference : Oulu, Finland, 21-22 November 20052005 / p. 200-205 : ill https://ieeexplore.ieee.org/document/1597024/keywords#keywords
- Automatic visual traffic sign damage detection and measurement of damaged areaErsü, Can; Janson, Karl; Petlenkov, Eduard; Reinsalu, Uljana2024 18th International Conference on Control, Automation, Robotics and Vision (ICARCV)2024 / p. 505-510 https://doi.org/10.1109/ICARCV63323.2024.10821546
- Automotive waste heat harvesting for electricity generation using thermoelectric systems - an overviewKütt, Lauri; Lehtonen, Matti2015 IEEE 5th International Conference on Power Engineering, Energy and Electrical Drives (POWERENG) : proceedings : May 11-13, 2015, Riga, Latvia2015 / p. 55-62 : ill
- AutoRIO : an indoor testbed for developing autonomous vehiclesLoni, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael2018 Proceedings of the Japan-Africa Conference on Electronics, Communications, and Computations (JAC-ECC) : December 16-18, 2018 Alexandria, Egypt2018 / p. 69-72 : ill https://doi.org/10.1109/JEC-ECC.2018.8679543
- Availability and variations of cardiac activity in the case of measuring the bioimpedance of wristMetshein, Margus; Annus, Paul; Land, Raul; Min, Mart; Aabloo, Alvo2018 IEEE International Instrumentation and Measurement Technology Conference (I2MTC 2018) : proceedings2018 / 5 p. : ill https://doi.org/10.1109/I2MTC.2018.8409684
- AWAIT : an ultra-lightweight soft-error mitigation mechanism for network-on-chip linksJanson, Karl; Pihlak, Rene; Azad, Siavoosh Payandeh; Niazmand, Behrad; Jervan, Gert; Raik, Jaan2018 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Lille, France, July 9th-11th, 20182018 / p. 1-6 : ill https://doi.org/10.1109/ReCoSoC.2018.8449374
- Awareness of BSR municipalities about sustainable urban lighting and green public procurementsKorõtko, Tarmo; Rosin, Argo; Varjas, Toivo; Ahmadiahangar, Roya2020 IEEE International Conference on Environment and Electrical Engineering and 2020 IEEE Industrial and Commercial Power Systems Europe (EEEIC / I&CPS Europe), Madrid, Spain, 9-12 June 2020 : proceedings2020 / 6 p. : ill https://doi.org/10.1109/EEEIC/ICPSEurope49358.2020.9160761
- Axial synchronous magnetic coupling modeling and printing with selective laser meltingTiismus, Hans; Kallaste, Ants; Vaimann, Toomas; Rassõlkin, Anton; Belahcen, Anouar2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 4 p. : ill https://doi.org/10.1109/RTUCON48111.2019.8982344
- Axially asymmetric design for additive manufacturing of synchronous reluctance machinesNaseer, Muhammad Usman; Kallaste, Ants; Asad, Bilal; Vaimann, Toomas; Rassõlkin, Anton2023 IEEE International Conference on Electric Machines and Drives (IEMDC)2023 / 5 p https://doi.org/10.1109/IEMDC55163.2023.10238995
- Back-to-back energy router based on common-ground invertersAzizi, Mohammadreza; Rahimpour, Saeed; Husev, Oleksandr; Veligorskyi, Oleksandr2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / p. 1-6 https://doi.org//10.1109/CPE-POWERENG58103.2023.10227480
- BASTION : board and SoC test instrumentation for ageing and no failure foundJutman, Artur; Lotz, Christophe; Larsson, Erik; Sonza Reorda, Matteo; Jenihhin, Maksim; Raik, JaanProceedings of the 2017 Design, Automation & Test in Europe (DATE) : 27-31 March 2017, Swisstech, Lausanne, Switzerland2017 / p. 115-120 : ill https://doi.org/10.23919/DATE.2017.7926968
- Battery size optimization with customer PV installations and domestic load profileShabbir, Noman; Kütt, Lauri; Astapov, Victor; Jawad, Muhammad; Allik, Alo; Husev, OleksandrIEEE Access2022 / p. 13012-13025 : ill https://doi.org/10.1109/ACCESS.2022.3147977 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85123072697&origin=inward&txGid=fec496d3464f98b1a62696f647b93381 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000751366700001
- Battery technologies in electric vehicles : improvements in electric battery packsMohseni, Parham; Husev, Oleksandr; Vinnikov, Dmitri; Strzelecki, Ryszard; Romero-Cadaval, Enrique; Tokarski, IgorIEEE industrial electronics magazine2023 / p. 55-65 https://doi.org/10.1109/MIE.2023.3252265 https://www.scopus.com/sourceid/5800207505 https://www.scopus.com/record/display.uri?eid=2-s2.0-85151523835&origin=inward&txGid=b9c221f42e1c8df9ad301a9c4d2c57f4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20IND%20ELECTRON%20M&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000958804200001
- A Bayesian optimization approach for calibrating large-scale activity-based transport modelsAgriesti, Serio; Kuzmanovski, Vladimir; Hollmen, Jaakko; Roncoli, Claudio; Nahmias-Biran, Bat-HenIEEE Open Journal of Intelligent Transportation Systems2023 / p. 740 - 754 https://doi.org/10.1109/OJITS.2023.3321110 https://www.scopus.com/sourceid/21101066249 https://www.scopus.com/record/display.uri?eid=2-s2.0-85174847851&origin=resultslist&sort=plf-f&src=s&sid=40ac1beac7d79217aeab7270ca83a0ea&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22A+Bayesian+Optimization+Approach+for+Calibrating+Large-Scale+Activity-Based+Transport+Models%22%29&sl=109&sessionSearchId=40ac1beac7d79217aeab7270ca83a0ea&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20OPEN%20J%20INTEL%20TR&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001091628500001
- Bbuzz : a Bit-aware fuzzing framework for network protocol systematic reverse engineering and analysisBlumbergs, Bernhards; Vaarandi, RistoMILCOM 2017 - 2017 IEEE Military Communications Conference : Baltimore, Maryland, USA, 23-25 October 20172017 / p. 707-712 https://doi.org/10.1109/MILCOM.2017.8170785 https://www.scopus.com/sourceid/87683 https://www.scopus.com/record/display.uri?eid=2-s2.0-85042351192&origin=inward&txGid=946f32681f64f02c9dfa9af856671f32 https://www.webofscience.com/wos/woscc/full-record/WOS:000426935700120
- Bearing fault analysis of BLDC motor intended for electric scooter applicationKudelina, Karolina; Asad, Bilal; Vaimann, Toomas; Rassõlkin, Anton; Kallaste, Ants2021 IEEE 13th International Symposium on Diagnostics for Electrical Machines, Power Electronics and Drives (SDEMPED)2021 / p. 427-432 https://doi.org/10.1109/SDEMPED51010.2021.9605519
- Benchmarking of power quality performance in transmission systems - CIGRE WG C4.27 perspective [Online resource]Kilter, Jako; Vujatovic, Davor; Elphick, SeanProceedings of 2016 17th International Conference on Harmonics and Quality of Power (ICHQP) : Belo Horizonte, Minas Gerais, Brazil, 16-19 October 20162016 / p. 949-954 https://doi.org/10.1109/ICHQP.2016.7783342
- Bidding strategy of a microgrid considering risk interdependence between electricity and carbon marketsYan, Yuan; Lin, Zihan; Wen, Fushuan; Palu, Ivo2019 IEEE Power & Energy Society General Meeting (PESGM)2019 / 5 p. : ill https://doi.org/10.1109/PESGM40551.2019.8974139
- Bidirectional DC circuit breaker with improved performance during commissioning and reclosingPogulaguntla, Aditya; Raghavendra I, Venkata; Banavath, Satish Naik; Chub, Andrii; Sreekanth, Thamballa; Krishnamoorthy, Harish Sarma24th European Conference on Power Electronics and Applications (EPE'22 ECCE Europe)2022 / p. P1-P9 https://ieeexplore.ieee.org/document/9907667
- Bidirectional DC-DC converter for modular residential battery energy storage systemsChub, Andrii; Vinnikov, Dmitri; Kosenko, Roman; Liivik, Liisa; Galkin, IljaIEEE transactions on industrial electronics2020 / p. 1944-1955 : ill https://doi.org/10.1109/TIE.2019.2902828 https://www.scopus.com/sourceid/26053 https://www.scopus.com/record/display.uri?eid=2-s2.0-85074702979&origin=inward&txGid=9776f00590785af857ffe997eed90dbc https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20IND%20ELECTRON&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000498553200027
- Bidirectional isolated hexamode DC-DC converterSidorov, Vadim; Chub, Andrii; Vinnikov, DmitriIEEE transactions on power electronics2022 / p. 12264-12278 https://doi.org/10.1109/TPEL.2022.3170229 https://www.scopus.com/sourceid/26055 https://www.scopus.com/record/display.uri?eid=2-s2.0-85129373419&origin=inward&txGid=afd6ad4b4b2d583ddf9398e8f6be4510 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20POWER%20ELECTR&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000814786200074
- Bidirectional isolated ZVS DC-DC converter with auxiliary active switch for high-power energy storage applicationsIvakhno, Volodymyr; Zamaruiev, Volodymyr; Styslo, Bogdan; Kosenko, Roman; Blinov, Andrei2017 IEEE First Ukraine Conference on Electrical and Computer Engineering (UKRCON) : May 29 - June 2, 2017, Kyiv, Ukraine : conference proceedings2017 / p. 589-592 : ill https://doi.org/10.1109/UKRCON.2017.8100315
- Bidirectional operation of the power electronic interface for nearly-zero energy buildingsRoasto, Indrek; Jalakas, Tanel; Rosin, Argo2018 20th European Conference on Power Electronics and Applications (EPE'18 ECCE Europe) : Riga, Latvia, 17-21 September 20182018 / p. 2456-2464 : ill https://ieeexplore.ieee.org/document/8515405
- Bidirectional soft switching current source DC-DC converter for residential DC microgridsBlinov, Andrei; Kosenko, Roman; Chub, Andrii; Vinnikov, DmitriIECON 2018 - 44th Annual Conference of the IEEE Industrial Electronics Society : proceedings2018 / p. 6059-6064 : ill https://doi.org/10.1109/IECON.2018.8591103
- Bidirectional solid-state DC circuit breaker for the protection of cesidential and Commercial DC buildingsAditya, P.; Yagna, V.; Banoth, T.; Chub, Andrii; Banavath, Satish Naik2023 IEEE 8th Southern Power Electronics Conference and 17th Brazilian Power Electronics Conference (SPEC/COBEP)2023 / 6 p https://doi.org/10.1109/SPEC56436.2023.10407460
- Bidirectional SSCB for residential DC microgrids with reduced voltage and current stress during fault interruptionAditya, P.; Banavath, Satish Naik; Lidozzi, Alessandro; Chub, Andrii; Vinnikov, Dmitri2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 6 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227379
- Bidirectional wide voltage range series-parallel resonant buck-boost DC-DC converterBlinov, Andrei; Chub, Andrii; Vinnikov, Dmitri2024 IEEE 21st International Power Electronics and Motion Control Conference (PEMC)2024 / 5 p https://doi.org/10.1109/PEMC61721.2024.10726369
- Binary signals in impedance spectroscopyMin, Mart; Ojarand, Jaan; Märtens, Olev; Paavle, Toivo; Land, Raul; Annus, Paul; Rist, Marek; Reidla, Marko; Parve, ToomasConference proceedings : ... Annual International Conference of the IEEE Engineering in Medicine and Biology Society. IEEE Engineering in Medicine and Biology Society. Conference2012 / p. 134-137 : ill
- Bioimpedance sensing - a viable alternative for tonometry in non-invasive assessment of central aortic pressureMin, Mart; Annus, Paul; Kõiv, Hip; Krivošei, Andrei; Uuetoa, Tiina; Lamp, Jürgen2017 IEEE International Symposium on Medical Measurements and Applications (MeMeA) : May 7-10, 2017, Rochester, MN, USA : proceedings papers2017 / p. 373-378 : ill https://doi.org/10.1109/MeMeA.2017.7985905
- A bio-impedance signal simulator (BISS) for research and training purposesMuhammad, Yar; Le Moullec, Yannick; Annus, Paul; Krivošei, Andrei2015 26th Irish Signals and Systems Conference (ISSC) : Institute of Technology Carlow, Ireland, June 24-25, 20152015 / [4] p. : ill https://doi.org/10.1109/ISSC.2015.7163746
- A bio-inspired compliant robotic fish : design and experimentsEL Daou, Hadi; Salumäe, Taavi; Toming, Gert; Kruusmaa, Maarja2012 IEEE International Conference on Robotics and Automation : ICRA : Saint Paul, Minnesota, USA, May 14-18, 20122012 / p. 5340-5345 : ill https://www.researchgate.net/publication/254041437_A_bio-inspired_compliant_robotic_fish_Design_and_experiments
- A bio-mimetic design and control of a fish-like robot using compliant structuresEL Daou, Hadi; Salumäe, Taavi; Ristolainen, Asko; Toming, Gert; Listak, Madis; Kruusmaa, MaarjaThe 15th International Conference on Advanced Robotics : Tallinn, Estonia, June 20-23, 20112011 / p. 563-568 : ill
- Biomimetic fish-like underwater robot for shallow water applicationsListak, Madis; Pugal, Deivid; Kruusmaa, Maarja13th International Conference on Advanced Robotics : Korea, Jeju, 21-24 August, 20072007 / p. 332-336
- Biomimetic mechanical design for soft-bodied underwater vehiclesFiazza, C.; Salumäe, Taavi; Listak, Madis; Kulikovskis, Guntis; Templeton, R.; Akanyeti, Otar; Megill, W.; Fiorini, Paolo; Kruusmaa, MaarjaIEEE OCEANS : Sydney, 24-27 May 20102010 / [7] p.: ill
- Bispectrum for the detection of the effect of photic and microwave stimulation on human EEGParts, Maie; Lipping, Tarmo; Lass, Jaanus; Hinrikus, HiieProceedings of the 25th Annual International Conference of the IEEE Engineering in Medicine and Biology Society. Vol. 25, A New Beginning for Human Health : volume 3 of 4 : 17-21 September 2003, Cancun, Mexico2003 / p. 2327-2330 : ill
- BIST analyzer : a training platform for SoC testing [Electronic resource]Jutman, Artur; Tšertov, Anton; Tšepurov, Anton; Aleksejev, Igor; Ubar, Raimund-Johannes; Wuttke, Heinz-Dietrich37th Annual Frontiers in Education Conference : Global Engineering : Knowledge Without Borders, Opportunities Without Passports : Milwaukee, Wisconsin, October 10-13, 20072007 / p. S3H-8-S3H-13 : ill. [CD-ROM] http://dx.doi.org/10.1109/FIE.2007.4418125
- Black start and fault tolerant operation of isolated matrix converter for DC microgridsEmiliani, Pietro; Blinov, Andrei; Chub, Andrii; de Carne, Giovanni; Vinnikov, DmitriIECON 2022 - 48th Annual Conference of the IEEE Industrial Electronics Society2022 / 5 p https://doi.org/10.1109/IECON49645.2022.9968735 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85143888741&origin=inward&txGid=d08eb4df9cba091fc7948c84dd58e231
- Black-box assessment of optical spectrum servicesKaeval, Kaida; Elbers, Jörg-Peter; Grobe, Klaus; Tikas, Marko; Fehenberger, Tobias; Griesser, Helmut; Jervan, Gert2021 Optical Fiber Communications Conference and Exhibition (OFC) : 6-10 June 2021 : San Francisco, CA, USA2021 / 3 p https://ieeexplore.ieee.org/document/9489700
- Blockchain applications and cybersecurity threats : A reviewNtshangase, Lindani Handsome; Bauk, Sanja2024 28th International Conference on Information Technology (IT)2024 / 4 p https://doi.org/10.1109/IT61232.2024.10475777
- Blockchain for EU electricity marketCrasta, Cletus J.; Agabus, Hannes; Palu, Ivo2020 IEEE International Conference on Environment and Electrical Engineering and 2020 IEEE Industrial and Commercial Power Systems Europe (EEEIC / I&CPS Europe), Madrid, Spain, 9-12 June 2020 : proceedings2020 / 6 p https://doi.org/10.1109/EEEIC/ICPSEurope49358.2020.9160575
- Blockchain technology’s effects on big data in maritime transportationPaladin, Zdravko; Bauk, Sanja; Mujalovic, Rasim; Kapidani, Nexhat; Lukšic, Žarko2024 28th International Conference on Information Technology (IT)2024 / 7 p https://doi.org/10.1109/IT61232.2024.10475774
- Blockchain-based solution for supply chain traceability: the case of SmartLog ProjectPilvik, Riivo; Kõrbe Kaare, Kati; Koppel, Ott2021 9th International Conference on Traffic and Logistic Engineering (ICTLE)2021 / p. 57-63 https://doi.org/10.1109/ICTLE53360.2021.9525749
- Block-level fault model-free debug and diagnosis in digital systemsUbar, Raimund-Johannes; Kostin, Sergei; Raik, JaanProceedings of the 12th EUROMICRO Conference on Digital System Design, Architectures, Methods and Tools : Patras, Greece, August 27-29, 20092009 / p. 229-232 https://ieeexplore.ieee.org/document/5350128
- Body fat proportion estimation by the segmental bioelectrical impedance analysis of forearmMetshein, Margus; Tuulik, Varje-Riin; Tuulik, Viiu; Min, Mart; Kumm, Monika; Annus, Paul; Märtens, Olev2024 IEEE International Instrumentation and Measurement Technology Conference (I2MTC) : proceedings2024 / 5 p https://doi.org/10.1109/I2MTC60896.2024.10560671
- Body-to-Body communication : applications, system design aspects and performance evaluationKhan, Rida; Alam, Muhammad MahtabThe 12th International Symposium on Medical Information and Communication Technology : ISMICT 2018, 26-28 March 2018, University of Technology Sydney2018 / 2 p. : ill http://ismict2018.org/images/Docs-2017/ISMICT-2018-Program---Final.pdf http://dx.doi.org/10.1109/ISMICT.2018.8573721
- Boost half-bridge DC-DC converter with reconfigurable rectifier for ultra-wide input voltage range applicationsVinnikov, Dmitri; Chub, Andrii; Liivik, Elizaveta; Blaabjerg, Frede; Siwakoti, Yam P.2018 IEEE Applied Power Electronics Conference and Exposition (APEC 2018), San Antonio, Texas, USA, 4-8 March 20182018 / p. 1528-1532 : ill https://doi.org/10.1109/APEC.2018.8341219 https://www.scopus.com/sourceid/31003 https://www.scopus.com/record/display.uri?eid=2-s2.0-85046937253&origin=inward&txGid=b24b16d6dbe048ef44e7f215b82e2ab8 https://www.webofscience.com/wos/woscc/full-record/WOS:000434981901110
- Brain functional connectivity in depression : gender differences in EEGOrgo, Laura; Bachmann, Maie; Kalev, Kaia; Hinrikus, Hiie; Järvelaid, Mari2016 IEEE EMBS Conference on Biomedical Engineering and Sciences (IECBES) : Kuala Lumpur, 4-8 December 20162016 / p. 270-273 : ill https://doi.org/10.1109/IECBES.2016.7843456
- Brain stimulation by modulated microwave radiation: a feasibility studyBachmann, Maie; Bachmann, Jaanus; Ioannides, Andreas A.; Hinrikus, Hiie2018 EMF-Med 1st World Conference on Biomedical Applications of Electromagnetic Fields (EMF-Med), 10-13 Sept. 20182018 / 2 p https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=8526055&tag=1
- Broken rotor bar fault detection using machine learning: Optimal frequency resolutionKoveshnikov, Semen; Bouharrouti, Nada El; Kudelina, Karolina; Naseer, Muhammad Usman; Vaimann, Toomas; Belahcen, Anouar2024 International Conference on Electrical Machines (ICEM)2024 / 6 p https://doi.org/10.1109/ICEM60801.2024.10700228
- Broken rotor bar fault diagnostic of inverter fed induction motor using FFT, Hilbert and Park's vector approachAsad, Bilal; Vaimann, Toomas; Belahcen, Anouar; Kallaste, Ants2018 XIII International Conference on Electrical Machines (ICEM 2018) : Alexandroupoli, Greece, 3-6 September 20182018 / p. 2352-2358 : ill https://doi.org/10.1109/ICELMACH.2018.8506957
- Buck-boost resonant Z-source parital power converterAbdelrahim Abdelghafour, Omar Mohamed; Chub, Andrii; Blinov, Andrei; Vinnikov, Dmitri3rd International Conference on Smart Grid and Renewable Energy (SGRE)2022 / p. 1-6 https://doi.org/10.1109/SGRE53517.2022.9774095
- Buck-boost unfolder inverter as a novel solution for single-phase PV systemsHusev, Oleksandr; Matiushkin, Oleksandr; Vinnikov, Dmitri; Roncero, Carlos; Romero-Cadaval, Enrique; Kütt, LauriIECON 2018 - 44th Annual Conference of the IEEE Industrial Electronics Society : proceedings2018 / p. 6116-6121 : ill https://doi.org/10.1109/IECON.2018.8592899
- Building an ontology for cyber defence exercisesBabayeva, Gulkhara; Maennel, Kaie; Maennel, Olaf ManuelIEEE European Symposium on Security and Privacy Workshops (EuroS&PW)2022 / p. 423-432 https://doi.org/10.1109/EuroSPW55150.2022.00050
- Business model archetypes. A systematic literature reviewMadsen, Rita; Lindgren, Peter; Durst, Susanne2022 25th International Symposium on Wireless Personal Multimedia Communications (WPMC)2022 / p. 128-133 https://doi.org/10.1109/WPMC55625.2022.10014802
- Cable diagnostics methods for determining degradation caused by renewable energy productionKiitam, Ivar; Taklaja, Paul; Niitsoo, Jaan; Hyvonen, Petri2015 IEEE 5th International Conference on Power Engineering, Energy and Electrical Drives (POWERENG) : proceedings : May 11-13, 2015, Riga, Latvia2015 / p. 220-224 : ill
- CAC 2.0 : a corrupt and correct logic locking technique resilient to structural analysis attacksAksoy, Levent; Yasin, Muhammad; Pagliarini, Samuel2024 IEEE 25th Latin American Test Symposium (LATS) : 9-12 April 2024 (2024)2024 https://doi.org/10.1109/LATS62223.2024.10534592 https://www.scopus.com/record/display.uri?eid=2-s2.0-85195396928&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FLATS62223.2024.10534592%29&sessionSearchId=d348ee9118c8b84895bd9936517e9e5c&relpos=0
- CAESAR-MPSoC : dynamic and efficient MPSoC security zonesAzad, Siavoosh Payandeh; Tempelmeier, Michael; Jervan, Gert; Sepulveda, Johanna2019 IEEE Computer Society Annual Symposium on VLSI : ISVLSI 2019 : proceedings2019 / p. 477-482 : ill https://doi.org/10.1109/ISVLSI.2019.00092
- Calculating power distribution system reliability indexes from Smart Meter dataKuhi, Kristjan; Kõrbe Kaare, Kati; Koppel, Ott; Palu, Ivo2016 IEEE International Energy Conference (ENERGYCON) : [Leuven, Belgium, 4-8 April 2016]2016 / [5] p. : ill https://doi.org/10.1109/ENERGYCON.2016.7513905
- Calculation of LFSR seed and polynomial pair for BIST applications [Electronic resource]Jutman, Artur; Tšertov, Anton; Ubar, Raimund-Johannes2008 IEEE Design and Diagnostics of Electronic Circuits and Systems : Bratislava, Slovakia, April 16-18, 20082008 / p. 275-279 : ill. [CD-ROM] https://ieeexplore.ieee.org/abstract/document/4538801
- Calculation of microwave radiometric signal in multilayered biological tissueHinrikus, Hiie; Krasavin, Jevgeni; Beilenhoff, Klaus; Hartnagel, Hans1995 IEEE Engineering in Medicine and Biology 17th Annual Conference and 2lst Canadian Medical and Biological Engineering Conference. Volume Two. Montreal, Canada, September 20-23, 19951997 / 1583-1584
- Calculation of the traction effort of ISEAUTO self-driving vehicleRassõlkin, Anton; Gevorkov, Levon; Vaimann, Toomas; Kallaste, Ants; Sell, Raivo2018 25th International Workshop on Electric Drives: Optimization in Control of Electric Drives (IWED)2018 / p. 1-5 : ill https://doi.org/10.1109/IWED.2018.8321397
- Can we make teaching great again?Henno, Jaak; Jaakkola, Hannu; Mäkelä, Jukka2024 47th ICT and Electronics Convention (MIPRO) : May 20-24, 2024, Opatija, Croatia : proceedings2024 / p. 566 - 570 https://doi.org/10.1109/MIPRO60963.2024.10569792 https://www.scopus.com/record/display.uri?eid=2-s2.0-85198222484&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FMIPRO60963.2024.10569792%29&sessionSearchId=d348ee9118c8b84895bd9936517e9e5c&relpos=0
- CAP waveform estimation from the measured electrical bioimpedance values : patient’s heart rate variability analysisKrivošei, Andrei; Uuetoa, Hasso; Min, Mart; Annus, Paul; Uuetoa, Tiina; Lamp, JürgenConference proceedings : 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society : Milan, Italy, August 25-29 20152015 / p. 2788-2791 : ill https://doi.org/10.1109/EMBC.2015.7318970
- Capability development - no path, response to competition : the cross-case of Google, Ericsson, Microsoft and NokiaRungi, Mait; Kolk, AlarProceedings of the 2012 IEEE International Conference on Industrial Engineering and Engineering Management2012 / p. 689-693 https://ieeexplore.ieee.org/document/6837827
- Capability development - no path, response to competition : the cross-case of Google, Ericsson, Microsoft and NokiaRungi, Mait; Kolk, AlarIEEM2012 : 2012 IEEE International Conference on Industrial Engineering and Engineering Management : 10 to 13 December 2012, Hong Kong, Hong Kong Convention and Exhibition Centre : [abstracts]2012 / p. 54 https://ieeexplore.ieee.org/document/6837827
- Capacitance measurement with MSP430 microcontrollersMärtens, Olev; Pille, Siim; Reidla, MarkoEDERC2014 : proceedings of the 6th European Embedded Design in Education and Research Conference, 11-12 September 2014, Milan, Italy2014 / p. 260-263 : ill
- Capacitor coupled voltage transformer defect identification in the presence of tap changerAsefi, Sajjad; Leinakse, Madis; Kilter, Jako; Landsberg, MartIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2023) : proceedings2023 / 5 p https://doi.org/10.1109/isgteurope56780.2023.10408138
- Capacitor coupled voltage transformer inaccuracy effect on circuit breaker operationAsefi, Sajjad; Andreesen, Guido; Kilter, Jako; Landsberg, Mart2023 23rd International Scientific Conference on Electric Power Engineering (EPE)2023 / 5 p https://doi.org/10.1109/EPE58302.2023.10149279
- CapsNet on embedded devices in a data scarce scenarioEzechukwu, Dismas Ndubuis; Le Moullec, Yannick2022 18th Biennial Baltic Electronics Conference (BEC)2022 / 6 l. https://doi.org/10.1109/BEC56180.2022.9935600
- Car navigation and collision avoidance system with fuzzy logicRiid, Andri; Pahhomov, Dmitri; Rüstern, Ennu2004 IEEE International Conference on Fuzzy Systems : proceedings : Budapest, Hungary, 25-29 July, 2004. Vol. 32004 / p. 1443-1448 : ill https://ieeexplore.ieee.org/document/1375386
- Carrier based modulation with capacitor balancing for three-level neutral-point-clamped qZS inverterRomero-Cadaval, Enrique; Roncero-Clemente, Carlos; Husev, Oleksandr; Vinnikov, Dmitri2015 9th International Conference on Compatibility and Power Electronics (CPE) : proceedings : Faculty of Science and Technology (FCT), Caparica, Lisbon, Portugal, 24-26 June, 20152015 / p. 57-62 : ill http://dx.doi.org/10.1109/CPE.2015.7231049
- Case study : utilities and asset management - time for a changeKangilaski, Taivo5th IEEE International Conference on Industrial Informatics : July 23-26, 2007, Vienna, Austria : conference proceedings. Volume 12007 / p. 579-584 https://ieeexplore.ieee.org/document/4384822
- A case study about the use and evaluation of cyber deceptive methods against highly targeted attacksFarar, Alexandria Elaine; Bahsi, Hayretdin; Blumbergs, Bernhards2017 International Conference On Cyber Incident Response, Coordination, Containment and Control, Cyber Incident 2017 : London, United Kingdom, 19 June 2017 through 20 June 20172017 / [7] p. : ill https://doi.org/10.1109/CYBERINCIDENT.2017.8054640
- Case study of outdoor digital screens effect on driversParker, Martin; Rosin, Argo; Antov, Dago; Varjas, Toivo; Möller, Taavi; Gorislavskaja, Inna2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 7 p https://doi.org/10.1109/RTUCON62997.2024.10830768
- Case study of smart city lighting system with motion detector and remote controlKuusik, Marko; Varjas, Toivo; Rosin, Argo2016 IEEE International Energy Conference (ENERGYCON) : [Leuven, Belgium, 4-8 April 2016]2016 / [5] p. : ill https://doi.org/10.1109/ENERGYCON.2016.7513906
- Case study of supplementary PMSM for low-speed wind turbinePoliakov, Nikolai; Vorobev, Konstantin; Demidova, Galina; Rassõlkin, Anton; Ramensky, Dmitry2021 XVIII International Scientific Technical Conference Alternating Current Electric Drives (ACED) : proceedings2021 / 5 p. : ill https://doi.org/10.1109/ACED50605.2021.9462253
- CCM and DCM operation analysis of cascaded quasi-z-source inverterVinnikov, Dmitri; Roasto, Indrek; Strzelecki, Ryszard; Adamowicz, MarekProceedings of IEEE International Symposium on Industrial Electronics : ISIE'2011 : 27-30 June 2011, Gdansk, Poland2011 / p. 159-164 : ill
- CCM operation analysis of the single-phase three-level quasi-Z-source inverterHusev, Oleksandr; Roncero-Clemente, Carlos; Stepenko, Serhii; Vinnikov, Dmitri; Romero-Cadaval, Enrique15th International Power Electronics and Motion Control Conference, EPE-PEMC 2012 ECCE Europe, Novi Sad, Serbia2012 / p. DS1b.21-1-DS1b.21-6 : ill https://ieeexplore.ieee.org/document/6397221
- Cell coverage analysis of a low altitude aerial base station in wind perturbationsSharma, Navuday; Sharma, Vishal; Magarini, Maurizio; Pervaiz, Haris; Alam, Muhammad Mahtab; Le Moullec, Yannick2019 IEEE Globecom Workshops (GC Wkshps) : Proceedings2019 / 6 p https://doi.org/10.1109/GCWkshps45667.2019.9024665
- Cell-level power supply for high-voltage modular multilevel converters [Electronic resource]Blinov, Andrei; Norrga, Staffan; Tibola, Gabriel; Velotto, Giovanni19th European Conference on Power Electronics and Application : EPE'17 ECCE Europe : September 11-14, 2017, Warsaw, Poland2017 / p. P1-P10 : ill. [USB] https://doi.org/10.23919/EPE17ECCEEurope.2017.8099361
- Challenges and implications of the WHO’s digital cross-border COVID-19 vaccine passport recognition pilotJackson, Eric Blake; Dreyling III, Richard Michael; Pappel, Ingrid2021 Eighth International Conference on eDemocracy and eGovernment (ICEDEG) : Quito, Ecuador, 28-30 July 20212021 / p. 88-94 https://doi.org//10.1109/ICEDEG52154.2021.9530954
- Challenges and perspectives in control of ionic polymer-metal composite (IPMC) actuators : a surveyAabloo, Alvo; Belikov, Juri; Kaparin, Vadim; Kotta, ÜlleIEEE Access2021 / art. 9133056, p. 121059-121073 https://doi.org/10.1109/ACCESS.2020.3007020 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85088283470&origin=inward&txGid=8efd62620b0d8d4c498ad3defdecd3b9 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000553535400001
- Challenges in the digital transformation of courts : a case study from the Kurdistan region of IraqAhmed, Rozha Kamal; Draheim, Dirk; Pappel, Ingrid; Muhammed, Khder H.2020 Seventh International Conference on eDemocracy & eGovernment (ICEDEG), Buenos Aires, Argentina, April 22–24, 2020 : proceedings2020 / p. 74-79 https://doi.org/10.1109/ICEDEG48599.2020.9096801
- Challenges in using neural networks in safety-critical applicationsForsberg, H.; Linden, Jan; Hjorth, J.; Manefjord, T.; Daneshtalab, MasoudAIAA/IEEE Digital Avionics Systems Conference - Proceedings, 2020 AIAA/IEEE 39th Digital Avionics Systems Conference (DASC), Virtual Conference, October 11-16, 2020 : Proceedings2020 / 7 p https://doi.org/10.1109/DASC50938.2020.9256519
- Challenges of academic mobility in view of students inclusion in engineering educationRaud, Zoja; Vodovozov, ValeryProceedings of the 2020 IEEE Global Engineering Education Conference (EDUCON) : Engineering Education for the Future in a Multicultural and Smart World, 27-30 April, 2020, Porto, Portugal2020 / p. 139-144 : ill https://doi.org/10.1109/EDUCON45650.2020.9125238
- Challenges of additive manufacturing of electrical machinesTiismus, Hans; Kallaste, Ants; Belahcen, Anouar; Rassõlkin, Anton; Vaimann, Toomas2019 IEEE 12th International Symposium on Diagnostics for Electrical Machines, Power Electronics and Drives (SDEMPED), 27-30 Aug. 2019, Toulouse, France : proceedings2019 / p. 44-48 : ill https://doi.org/10.1109/DEMPED.2019.8864850
- Challenges of reliability assessment and enhancement in autonomous systemsJenihhin, Maksim; Sonza Reorda, Matteo; Balakrishnan, Aneesh; Alexandrescu, Dan2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2019)2019 / 6 p https://doi.org/10.1109/DFT.2019.8875379
- Challenges of transition to paperless management: readiness of incorporating AI in decision-making processesGelashvili, Teona; Pappel, Ingrid2021 Eighth International Conference on eDemocracy and eGovernment (ICEDEG) : Quito, Ecuador, 28-30 July 20212021 / p. 41-46 https://doi.org/10.1109/ICEDEG52154.2021.9530905
- Challenging diffusion of digital receiptsSoe, Ralf-Martin2021 Eighth International Conference on eDemocracy and eGovernment (ICEDEG) : Quito, Ecuador, 28-30 July 20212021 / p. 110−117 https://doi.org/10.1109/ICEDEG52154.2021.9530887
- Changes in EEG measures of a recipient of the mRNA COVID-19 vaccine - a case studyUudeberg, Tuuli; Hinrikus, Hiie; Päeske, Laura; Lass, Jaanus; Bachmann, Maie2022 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC)2022 / p. 3702-3705 https://doi.org/10.1109/EMBC48229.2022.9871524 https://www.scopus.com/sourceid/34202 https://www.scopus.com/record/display.uri?eid=2-s2.0-85138127874&origin=resultslist&sort=plf-f&src=s&sid=bd2c53100afe07fa1c2c5900ad0f3426&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22changes+in+eeg+measures+of+a+recipient%22%29&sl=55&sessionSearchId=bd2c53100afe07fa1c2c5900ad0f3426
- Changes in engineering education in the transition to a digital societyMakarova, Irina; Boyko, Aleksey; Giniyatullin, Ilsur; Pashkevich, Anton; Mukhametdinov, Eduard2020 21th International Carpathian Control Conference (ICCC)2020 / 6 p https://doi.org/10.1109/ICCC49264.2020.9257231
- Channel prediction based enhanced throughput and channel aware MAC in SmartBAN standardKhan, Rida; Alam, Muhammad Mahtab; Kuusik, Alar2019 16th International Symposium on Wireless Communication Systems (ISWCS)2019 / p. 463-468 : ill https://doi.org/10.1109/ISWCS.2019.8877244
- Characterisation of 1200 V RB-IGBTs with different irradiation levels under hard and soft switching conditionsBlinov, Andrei; Korkh, Oleksandr; Vinnikov, Dmitri; Waind, Peter2018 20th European Conference on Power Electronics and Applications (EPE'18 ECCE Europe) : Riga, Latvia, 17-21 September 20182018 / p. 1382-1391 : ill https://ieeexplore.ieee.org/document/8515535
- Characterisation of 1200 V reverse-blocking IGBTs for naturally commutated HF-link inverterZinchenko, Denys; Korkh, Oleksandr; Blinov, Andrei; Waind, Peter; Vinnikov, Dmitri2019 IEEE 2nd Ukraine Conference on Electrical and Computer Engineering : UKRCON-2019 : conference proceedings2019 / p. 382-387 : ill https://doi.org/10.1109/UKRCON.2019.8879900
- Characteristic pulse pattern features of different types of partial discharge sources in power cablesKiitam, Ivar; Shafiq, Muhammad; Taklaja, Paul; Parker, Martin; Palu, Ivo; Kütt, LauriIEEE Power Engineering Society Conference and Exposition in Africa, PowerAfrica, IEEE PES/IAS PowerAfrica, Nairobi, Keenia, 23-27 Aug 2021 : proceedings2021 / 5 p. : ill https://doi.org/10.1109/PowerAfrica52236.2021.9543366
- Characteristics of learning outcomes for integrating work-based learning into the business information technology study programMurtazin, Kristina; Shvets, Oleg; Meeter, Martijn; Piho, Gunnar2022 45th Jubilee International Convention on Information, Communication and Electronic Technology (MIPRO), 23-27 May 2022, Opatija, Croatia : proceedings2022 / p. 1307-1312 https://doi.org/10.23919/MIPRO55190.2022.9803677
- Characterization and enhancement of oil shale fly ash from CFB boilerPikkor, Heliis; Järvik, Oliver; Lees, Heidi; Konist, Alar; Siirde, Andres; Maaten, Birgit6th International Conference on Smart and Sustainable Technologies, SpliTech 20212021 / p. 1-4 https://doi.org/10.23919/SpliTech52315.2021.9566470
- Characterization of corona and internal partial discharge under increasing electrical stress using time domain analysisShafiq, Muhammad; Kiitam, Ivar; Taklaja, Paul; Hussain, Amjad; Kütt, Lauri; Kauhaniemi, Kimmo2020 IEEE Electrical Insulation Conference : EIC 20202020 / vol. 217−220 https://doi.org/10.1109/EIC47619.2020.9158701
- Characterization of high-frequency impedance-based models for transient terminal overvoltage estimation in cable-fed motor drive systemsSardar, Muhammad Usman; Vaimann, Toomas; Kütt, Lauri; Asad, Bilal; Kallaste, Ants; Kudelina, Karolina2024 International Conference on Electrical Machines (ICEM)2024 / 7 p https://doi.org/10.1109/ICEM60801.2024.10700495
- Characterization of the optical spectrum as a serviceKaeval, Kaida; Jansen, Sander Lars; Spinty, Florian; Grobe, Klaus; Griesser, Helmut; Fehenberger, Tobias; Tikas, Marko; Jervan, GertJournal of Optical Communications and Networking2022 / p. 398-410 https://doi.org/10.1364/JOCN.454158 https://www.scopus.com/sourceid/17900156735 https://www.scopus.com/record/display.uri?eid=2-s2.0-85129513274&origin=inward&txGid=61dfd2db5c4ee6818bad7ad56236b9cb https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20OPT%20COMMUN%20NETW&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000795873600003
- Chemical etching of Cu2ZnSn(S,Se)4 monograin powderTimmo, Kristi; Altosaar, Mare; Raudoja, Jaan; Grossberg, Maarja; Danilson, Mati; Volobujeva, Olga; Mellikov, Enn35th IEEE Photovoltaic Specialists Conference : Honolulu, HI, June 20-25, 2010 : conference proceedings2010 / p. 1982-1985 : ill
- Choose whom to date wisely : explaining the performance variation in strategic alliancesRungi, Mait; Stulova, ValeriaIEEM 2014 : 2014 IEEE International Conference on Industrial Engineering and Engineering Management : 9-12 December, 2014, Malaysia2014 / p. 692-696 : ill
- Choosing how tight to bond : the interplay between corporate combinations, alliances and networksRungi, Mait; Stulova, Valeria2014 International Conference on IT Convergence and Security : ICITCS 2014 : 28-30 October 2014, Beijing, China2014 / [4] p. : ill
- Circulation centrifugal pump with variable speed drives and minimal electricity consumptionVodovozov, Valery; Gevorkov, Levon; Raud, Zoja2017 11th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG 2017) : Cadiz, Spain, 4-6 April 20172017 / p. 334-339 : ill http://dx.doi.org/10.1109/CPE.2017.7915193
- Class topper pptimization approach to solve non-convex combined heat and power economic dispatch problems in power systemsGupta, Pradeep Kumar; Tuttelberg, Kaur; Kilter, Jako2024 IEEE International Conference on Power and Energy (PECon)2024 / p. 179-184 https://doi.org/10.1109/PECon62060.2024.10827527
- CLD : an accurate, cost-effective and scalable run-time Cache Leakage DetectorShalabi, Ameer; Ghasempouri, Tara; Ellervee, Peeter; Raik, Jaan2021 24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) : Vienna, Austria, 07-09 April 20212021 / p. 127-132 : ill https://doi.org/10.1109/DDECS52668.2021.9417071
- Cleaning procedure for the screen-printed RuO2 pH electrodesLazouskaya, Maryna; Vetik, Iuliia; Uppuluri, Kiranmai; Razmi, Nasrin; Scheler, OttIEEE Sensors 2022 : Dallas, Texas, USA : 30 October 2022 - 02 November 2022 : Sensors 2022 conference proceedings2022 / 4 p. : ill https://doi.org/10.1109/SENSORS52175.2022.9967177 https://www.scopus.com/sourceid/74882 https://www.scopus.com/record/display.uri?eid=2-s2.0-85144054161&origin=inward&txGid=08cca7414a81fcea52555d6f2c4dae68 https://www.webofscience.com/wos/woscc/full-record/WOS:000918629700160
- CLFT : camera-LiDAR fusion transformer for semantic segmentation in autonomous drivingGu, Junyi; Bellone, Mauro; Pivonka, Tomas; Sell, RaivoIEEE Transactions on Intelligent Vehicles2024 / 12 p https://doi.org/10.1109/TIV.2024.3454971
- Clock manipulation for heterogeneous emulation environmentEllervee, Peeter; Arhipov, Anton; Tammemäe, KalleProceedings [of] 24th IEEE Norchip Conference : Linköping, Sweden, 20-21 November 20062006 / p. 213-216 : ill https://ieeexplore.ieee.org/abstract/document/4126984
- Cloud computing: serverlessKoschel, Arne; Bertram, Marvin; Bischof, Richard; Schulze, Kevin; Schaaf, Marc; Astrova, Irina12th International Conference on Information, Intelligence, Systems & Applications (IISA), 12-14 July 2021 : proceedings2021 / art. 21201275, 7 p. : ill https://doi.org/10.1109/IISA52424.2021.9555534
- Cluster-based association rule mining for an intersection accident datasetShahin, Mahtab; Saeidi, Soheila; Shah, Syed Attique; Kaushik, Minakshi; Sharma, Rahul; Arakkal Peious, Sijo; Draheim, Dirk2021 International Conference on Computing, Electronic and Electrical Engineering (ICE Cube), 26-27 November 2021 : proceedings2021 / 6 p https://doi.org/10.1109/ICECube53880.2021.9628206
- Clustering and outlier analysis for key performance indicators in battery energy storage systems applicationsGilbert Zequera, Rolando Antonio; Rassõlkin, Anton; Vaimann, Toomas; Kallaste, Ants2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 6 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227417
- Clustering-based penalty signal design for flexibility utilizationRosin, Argo; Ahmadiahangar, Roya; Azizi, Elnaz; Sahoo, Subham; Vinnikov, Dmitri; Blaabjerg, Frede; Dragicevic, Tomislav; Bolouki, SadeghIEEE Access2020 / p. 208850-208860 https://doi.org/10.1109/ACCESS.2020.3038822 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85097650254&origin=inward&txGid=a2deedc4e9c803e67fd534bcb8de70df https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000595966300001
- CM voltage compensator for DC/DC converters [Electronic resource]Smolenski, Robert; Jarnut, Marcin; Bojarski, Jacek; Blinov, Andrei; Vinnikov, DmitriCPE 2013 : 2013 International Conference on Compatibility and Power Electronics (CPE) : June 5-7, 2013, Ljubljana, Slovenia : conference proceedings2013 / p. 264-268 : ill [CD-ROM] https://ieeexplore.ieee.org/document/6601167
- CMOS low power current source with reduced circuit complexityKasemaa, Argo; Rang, Toomas; Annus, PaulIEEE 9th International New Circuits and Systems Conference (NEWCAS) : Bordeaux-France, 26-29 June 2011 : [proceedings]2011 / p. 17-20 https://www.semanticscholar.org/paper/CMOS-low-power-current-source-with-reduced-circuit-Kasemaa-Rang/f5e490ce914a4c6c3195586a28147211c928c28d
- Coalitional game-based transactive energy management in local energy communitiesFeng, Changsen; Wen, Fushuan; You, Shi; Li, Zhiyi; Shahnia, Farhad; Shahidehpour, MohammadIEEE Transactions on Power Systems2020 / p. 1729 - 1740 https://doi.org/10.1109/TPWRS.2019.2957537 https://www.scopus.com/sourceid/28825 https://www.scopus.com/record/display.uri?eid=2-s2.0-85083860934&origin=inward&txGid=732534b8465ad563856b27bd7851da84 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20POWER%20SYST&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000529523600006
- Code coverage analysis using high-level decision diagrams [Electronic resource]Raik, Jaan; Reinsalu, Uljana; Ubar, Raimund-Johannes; Jenihhin, Maksim; Ellervee, Peeter2008 IEEE Design and Diagnostics of Electronic Circuits and Systems : Bratislava, Slovakia, April 16-18, 20082008 / p. 201-207 : ill. [CD-ROM] https://ieeexplore.ieee.org/document/4538786
- Code development of a DSP-FPGA based control platform for power electronics applicationsMinambres-Marcos, Victor; Roasto, Indrek; Szczepankowski, P.; Romero-Cadaval, Enrique; Vinnikov, Dmitri; Barrero-Gonzalez, Fermin2015 IEEE International Conference on Industrial Technology (ICIT 2015) : Seville, Spain, 17-19 March 20152015 / p. 2890-2895 : ill
- Co-design of a wireless networked control system for reliability and resource-efficiencyAshraf, Kanwal; Le Moullec, Yannick; Pardy, Tamas; Rang, Toomas2024 19th Biennial Baltic Electronics Conference (BEC)2024 / 7 p https://doi.org/10.1109/BEC61458.2024.10737965
- Co-design of wireless networked control systems : a reliable and resource-efficient approachAshraf, Kanwal; Le Moullec, Yannick; Pardy, Tamas; Rang, Toomastechrxiv.org2024 / 9 p. : ill https://www.techrxiv.org/users/693853/articles/683291-co-design-of-wireless-networked-control-systems-a-reliable-and-resource-efficient-approach
- Cogniflow-drop : integrated modular system for automated generation of droplets in microfluidic applicationsJõemaa, Rauno; Gyimah, Nafisat; Ashraf, Kanwal; Pärnamets, Kaiser; Zaft, Alexander; Scheler, Ott; Rang, Toomas; Pardy, TamasIEEE Access2023 / p. 104905-104929 https://doi.org/10.1109/ACCESS.2023.3316726 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85172992660&origin=inward&txGid=5462987a2fdd2c1eff2d1050f3b57946 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001081580400001
- Coil design for wireless power transfer with series-parallel compensationShevchenko, Viktor; Husev, Oleksandr; Pakhaliuk, Bohdan; Karlov, Olexii; Kondratenko, Igor2019 IEEE 2nd Ukraine Conference on Electrical and Computer Engineering (UKRCON)2019 / p. 401-407 https://doi.org/10.1109/UKRCON.2019.8879877
- Coil-to-coil efficiency of ISS-compensated inductive wireless power transfer links operating with load-independent output voltage at fixed frequencyBelenky, A.; Chub, Andrii; Kuperman, A.2023 International Conference on Clean Electrical Power (ICCEP)2023 / p. 617-621 : ill https://doi.org/10.1109/ICCEP57914.2023.10247404
- A combination of two NLMS filters in an adaptive line enhancer [Electronic resource]Trump, Tõnu17th International Conference on Digital Signal Processing, 6-8 July 2011, Corfu, Greece : proceedings2011 / [6 p.] : ill. [CD-ROM]
- Combinational fault simulation in sequential circuitsUbar, Raimund-Johannes; Kõusaar, Jaak; Gorev, Maksim; Devadze, Sergei2015 IEEE International Symposium on Circuits and Systems : 24-27 May 2015, Lisboa, Portugal : [proceedings]2015 / p. 2876-2879 : ill
- Combined active frequency drift islanding detection method for NZEB energy routerJalakas, Tanel; Roasto, Indrek; Najafzadeh, Mahdiyyeh2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 6 p https://doi.org/10.1109/RTUCON48111.2019.8982258
- Combined fault-model free cause-effect and effect-cause fault diagnosis in block-level digital networksUbar, Raimund-Johannes; Kostin, Sergei; Raik, JaanASQED'09 : 1st Asia Symposium on Quality Electronic Design : Kuala Lumpur, Malaisia, July 15-16, 20092009 / p. 385-390 https://ieeexplore.ieee.org/document/5206232
- Combined FE and two dimensional spectral analysis of broken cage faults in induction motorsMartinez, Javier; Belahcen, Anouar; Arkkio, AnteroProceedings : IECON 2013 - 39th Annual Conference of the IEEE Industrial Electronics Society : Vienna, Austria, 10-14 November, 20132013 / p. 2674-2679 : ill https://ieeexplore.ieee.org/document/6699553
- A combined method for error and complexity reduction in fuzzy rule-based classificationRiid, Andri; Preden, Jürgo-SörenIEEE International Conference on Fuzzy Systems : August 2-5, 2015, Istanbul, Turkey2015 / [6] p. : ill https://doi.org/10.1109/FUZZ-IEEE.2015.7337806
- Combined pseudo-exhaustive and deterministic testing of array multipliersOyeniran, Adeboye Stephen; Azad, Siavoosh Payandeh; Ubar, Raimund-Johannes2018 IEEE International Conference on Automation, Quality and Testing, Robotics (AQTR) : THETA 21st edition, 24th-26th May, Cluj-Napoca, Romania : proceedings2018 / 6 p. : ill https://doi.org/10.1109/AQTR.2018.8402708
- Combining airborne and terrestrial laser scanning to monitor coastal processesJulge, Kalev; Eelsalu, Maris; Grünthal, Erkko; Märdla, Silja; Ellmann, Artu; Soomere, Tarmo; Tõnisson, Hannes2014 IEEE/OES Baltic International Symposium : 26-29 May 2014, Tallinn, Estonia : [proceedings]2014 / [10] p. : ill
- Combining JSON-LD with first order logicTammet, Tanel; Sutcliffe, GeoffProceedings - 2021 IEEE 15th International Conference on Semantic Computing, ICSC 20212021 / p. 256 - 261 https://doi.org/10.1109/ICSC50631.2021.00051 https://www.scopus.com/record/display.uri?eid=2-s2.0-85102652968&origin=resultslist&sort=plf-f&src=s&sid=3b42bc15325c13282d3a40f32ea9fcd1&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22combining+json-ld%22%29&sl=34&sessionSearchId=3b42bc15325c13282d3a40f32ea9fcd1 https://www.webofscience.com/wos/woscc/full-record/WOS:000668692000046
- Commercial property tokenizing with smart contractsNorta, Alexander; Fernandez, Chad; Hickmott, Stefan2018 International Joint Conference on Neural Networks (IJCNN) : 2018 proceedings2018 / p. 4801-4808 https://doi.org/10.1109/IJCNN.2018.8489534 https://www.scopus.com/sourceid/96537 https://www.scopus.com/record/display.uri?eid=2-s2.0-85056518100&origin=inward&txGid=81f6f972af4b3f9c20a9cabfd8e04066 https://www.webofscience.com/wos/woscc/full-record/WOS:000585967404118
- Common mode voltage reduction and neutral-point voltage balance for quasi-Z-source three-level neutral-point-clamped invertersLiu, Wenjie; Yang, Yongheng; Li, Weilin; Zhang, Xiaobin; Husev, Oleksandr; Vinnikov, DmitriInternational Power Electronics Conference (IPEC-Himeji 2022- ECCE Asia)2022 / p. 934-939 https://doi.org/10.23919/IPEC-Himeji2022-ECCE53331.2022.9806905
- Communication modelling and synthesis for NoC-based systems with real-time constraintsTagel, Mihkel; Ellervee, Peeter; Hollstein, Thomas; Jervan, GertProceedings of the 2011 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems : April 13-15, 2011, Gottbus, Germany2011 / p. 237-242 : ill https://www.semanticscholar.org/paper/Communication-modelling-and-synthesis-for-NoC-based-Tagel-Ellervee/71f9595d88ed06b63367b87188b218fe6da6bd97
- Community battery sizing for distribution level RES hosting capacity improvementPeterson, Kristjan; Shabbir, Noman; Astapov, Victor; Kütt, Lauri; Kamran, Daniel2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 5 p https://doi.org/10.1109/RTUCON62997.2024.10830871
- Compact empirical model for droplet generation in a Lab-on-Chip cytometry systemPärnamets, Kaiser; Udal, Andres; Koel, Ants; Pardy, Tamas; Gyimah, Nafisat; Rang, ToomasIEEE Access2022 / p. 127708-127717 https://doi.org/10.1109/ACCESS.2022.3226623 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85144080334&origin=inward&txGid=a3d93af970c326751a52a46a65fd921f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000899157300001
- Compact multichannel device for differential impedance spectroscopy of microfluidic sensors [Online resource]Ojarand, Jaan; Ehrminger, Robin Benjamin; Min, Mart; Koel, AntsBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p.: ill http://doi.org/10.1109/BEC.2018.8600955
- Comparative analysis of boost and quasi-Z-source converters as maximum power point trackers for PV panel integrated convertersZakis, Janis; Rankis, Ivars; Ribickis, LeonidsProceedings : 2014 IEEE 23rd International Symposium on Industrial Electronics (ISIE) : Grand Cevahir Hotel and Convention Center, Istambul, Turkey, 01-04 June, 20142014 / p. 1991-1995 : ill
- Comparative analysis of buck-boost inverters based on unfolding circuit versus H5, H6, HERIC topologiesMatiushkin, Oleksandr; Husev, Oleksandr; Vinnikov, Dmitri; Vosoughi Kurdkandi, Naser2022 International Symposium on Power Electronics, Electrical Drives, Automation and Motion (SPEEDAM)2022 / p. 547-552 https://doi.org/10.1109/SPEEDAM53979.2022.9842282
- Comparative analysis of electric drives met for vehicle propulsionVodovozov, Valery; Raud, Zoja; Lehtla, Tõnu; Rassõlkin, Anton; Lillo, Nikolai9th International Conference on Ecological Vehicles and Renewable Energies EVER 20142014 / [8] p. : ill
- Comparative analysis of HVAC cable metallic sheath modelling approaches for network studiesKangro, Triin; Kilter, Jako; De Silva, Jeewantha2018 18th International Conference on Harmonics and Quality of Power (ICHQP 2018) : Ljubljana, Slovenia, 13 – 16 May 20182018 / 6 p. : ill https://doi.org/10.1109/ICHQP.2018.8378924
- Comparative analysis of pattern mining algorithms for event logsGasimov, Orkhan; Vaarandi, Risto; Pihelgas, Mauno2023 IEEE International Conference on Cyber Security and Resilience (CSR) : Venice, Italy, 20232023 / 7 p https://doi.org//10.1109/CSR57506.2023.10224996
- Comparative analysis of reliability for string and central inverter PV systems in accordance with the FMECADumnic, Boris; Liivik, Elizaveta; Popadic, Bane; Blaabjerg, Frede; Milicevic, Dragan; Katic, Vladimir2020 IEEE 11th International Symposium on Power Electronics for Distributed Generation Systems (PEDG), 28 Sept.-1 Oct. 2020, Dubrovnik, Croatia2020 / p. 591-596 https://doi.org/10.1109/PEDG48541.2020.9244404
- Comparative evaluation of a DAB converter and SRC for DC buildings applicationCarvalho da Silva, Edivan Laercio; Chub, Andrii; Blinov, Andrei; Banavath, Satish Naik; Vinnikov, Dmitri2024 IEEE 21st International Power Electronics and Motion Control Conference (PEMC)2024 / 6 p https://doi.org/10.1109/PEMC61721.2024.10726346
- Comparative evaluation of dual-purpose converters suitable for application in dc and ac gridsHusev, Oleksandr; Matiushkin, Oleksandr; Jalakas, Tanel; Vinnikov, Dmitri; Vosoughi Kurdkandi, NaserIEEE journal of emerging and selected topics in power electronics2024 / p. 1337-1347 https://doi.org/10.1109/JESTPE.2023.3243857
- Comparative evaluation of isolated dc-dc converters for low power applicationsAzizi, Mohammadreza; Husev, Oleksandr; Vinnikov, Dmitri; Veligorskyi, Oleksandr2022 IEEE 20th International Power Electronics and Motion Control Conference (PEMC) : Brasov, Romania, 25-28 Sept. 2022 : proceedings2022 / p. 7-12 https://doi.org/10.1109/PEMC51159.2022.9962944
- Comparative evaluation of multicoil inductive power transfer approaches based on Z-source networkPakhaliuk, Bohdan; Husev, Oleksandr; Strzelecki, Ryszard; Shevchenko, Viktor; Maksym, Khomenko2019 IEEE 2nd Ukraine Conference on Electrical and Computer Engineering (UKRCON)2019 / 5 p https://doi.org/10.1109/UKRCON.2019.8880002
- Comparative evaluation of various generations of Controller Area Network based on timing analysisBerisa, Aldin; Panjevic, Adis; Kovac, Imran; Lyngbäck, Hans; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, Saad2023 IEEE 28th International Conference on Emerging Technologies and Factory Automation (ETFA)2023 / p. 1-8 https://doi.org//10.1109/ETFA54631.2023.10275549
- Comparative measurement of cardiac cycle by means of different sensorsPriidel, Eiko; Land, Raul; Sinivee, Veljo; Annus, Paul; Min, Mart2017 Electronics : proceedings of the 21st International Conference : June 19th-21st, 2017, Palanga, Lithuania2017 / [5] p. : ill https://doi.org/10.1109/ELECTRONICS.2017.7995221
- Comparative study of field-oriented control model in application for induction and synchronous reluctance motors for life-cycle analysisAutsou, Siarhei; Saroka, Viktor; Karpovich, Dzmitry; Rassõlkin, Anton; Gevorkov, Levon; Vaimann, Toomas; Kallaste, Ants; Belahcen, Anouar; Rassõlkin, Anton2018 25th International Workshop on Electric Drives: Optimization in Control of Electric Drives (IWED)2018 / p. 1-5 : ill https://doi.org/10.1109/IWED.2018.8321371
- Comparative study of LED ballasts for different light regulation techniquesMilaševski, Irena; Tetervenok, Oleg; Suzdalenko, Alexander15th International Power Electronics and Motion Control Conference, EPE-PEMC 2012 ECCE Europe, Novi Sad, Serbia2012 / p. DS2b.16-1-DS2b.16-6 : ill https://ieeexplore.ieee.org/document/6397269
- Comparative study of possible implementations of the flexible power electronic interface for wide-range high step-up applications in DC microgridKhan, Salman; Chub, Andrii; Vinnikov, Dmitri; Kasper, Matthias; Deboy, Gerald2024 IEEE 18th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2024 / 6 p https://doi.org/10.1109/CPE-POWERENG60842.2024.10604370
- Comparative study of smart lighting grids with LEDs operated with concentrated, localized or distributed control [Electronic resource]Suzdalenko, Alexander; Milaševski, Irena; Galkin, IljaSPEEDAM 2012 : Sorrento (Italy) - June 20-22, 2012 : 21st edition of the International Symposium on Power Electronics, Electrical drives, Automation and Motion2012 / p. 1437-1441 : ill [CD-ROM] https://ieeexplore.ieee.org/document/6264633
- Comparative study of steady-state performance of voltage and current fed dimmable LED drivers [Electronic resource]Galkin, Ilja; Tetervenok, Oleg; Milaševski, IrenaCPE 2013 : 2013 International Conference on Compatibility and Power Electronics (CPE) : June 5-7, 2013, Ljubljana, Slovenia : conference proceedings2013 / p. 292-297 : ill [CD-ROM] https://ieeexplore.ieee.org/document/6601172
- Comparative study of the phase-integrated converter as universal power converterHusev, Oleksandr; Matiushkin, Oleksandr; Vinnikov, Dmitri; Vosoughi Kurdkandi, Naser; Kouro, SamirAnnual IEEE Conference on Applied Power Electronics Conference and Exposition (APEC)2022 / p. 58-63 https://doi.org/10.1109/APEC43599.2022.9773553 https://www.scopus.com/sourceid/31003 https://www.scopus.com/record/display.uri?eid=2-s2.0-85131684040&origin=inward&txGid=bb0deb427a9b0fbd4fde735de484870e
- A comparative study on graph-based ranking algorithms for consumer-oriented demand side managementOnile, Abiodun Emmanuel; Belikov, Juri; Petlenkov, Eduard; Levron, Yoash2021 IEEE Madrid PowerTech2021 / 6 p https://doi.org/10.1109/PowerTech46648.2021.9494752
- Comparison and verification of boost control methods for full soft-switching bidirectional current-fed isolated full-bridge DC-DC converter [Online resource]Kosenko, Roman; Chub, Andrii; Blinov, Andrei2016 II International Young Scientists Forum on Applied Physics and Engineering (YSF-2016) : forum proceedings2016 / p. 6-9 : ill https://doi.org/10.1109/YSF.2016.7753748
- A comparison between three-phase conventional two-stage ac-dc and single-stage matrix converter approachesMohseni, Parham; Emiliani, Pietro; Husev, Oleksandr; Vinnikov, Dmitri; Mackay, Laurens2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 6 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227438
- A comparison of a discrete-time PI and an indirect MPC current controllers for a single-phase grid-connected inverter operating with distorted grid and significant computation feedback delayPimentel, Sergio Pires; Husev, Oleksandr; Vinnikov, Dmitri; Stepenko, Serhii; Kütt, Lauri; Rodriguez, Jose2019 IEEE 15th Brazilian Power Electronics Conference and 5th IEEE Southern Power Electronics Conference (COBEP/SPEC)2019 / 6 p.: ill https://doi.org/10.1109/COBEP/SPEC44138.2019.9065396
- Comparison of current harmonic emission by different lighting technologiesIqbal, Muhammad Naveed; Kütt, Lauri; Shabbir, Noman; Asad, Bilal2020 IEEE 61st International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, Nov. 5-7, 2020 : conference proceedings2020 / 6 p http://toc.proceedings.com/52742webtoc.pdf
- Comparison of DBaaS ArchitecturesAstrova, Irina; Koschel, Arne; Eickemeyer, Chris; Offel, Norman2018 9th International Conference on Information, Intelligence, Systems and Applications (IISA 2018) : Zakynthos, Greece, 23-25 July 20182018 / p. 1-5 : ill https://doi.org/10.1109/IISA.2018.8633590
- Comparison of decoupling control strategies for multiple active bridge DC-DC converterCai, Yicong; Buticchi, Giampaolo; Gu, Chunyang; Li, Jing; Carvalho da Silva, Edivan Laercio; Zhang, He2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 https://doi.org/10.1109/CPE-POWERENG58103.2023.10227395
- Comparison of excitation signals and methods for a wideband bioimpedance measurementOjarand, Jaan; Rist, Marek; Min, MartI2MTC 2016 : 2016 IEEE International Instrumentation and Measurement Technology Conference : May 23-26, 2016, Taipei International Convention Center, Taipei, Taiwan : proceedings2016 / p. 1291-1296 : ill https://doi.org/10.1109/I2MTC.2016.7520555 https://www.scopus.com/sourceid/15045 https://www.scopus.com/record/display.uri?eid=2-s2.0-84980349675&origin=inward&txGid=cb2470df7feca0912a07aed5e90562a4 https://www.webofscience.com/wos/woscc/full-record/WOS:000382523600227
- Comparison of full power and partial power buck-boost DC-DC converters for residential battery energy storage applicationsHassanpour, Naser; Chub, Andrii; Blinov, Andrei; Vinnikov, Dmitri2022 IEEE 16th International Conference on Compatibility, Power Electronics, and Power Engineering (CPE-POWERENG)2022 / 6 l https://doi.org/10.1109/CPE-POWERENG54966.2022.9880862
- Comparison of grid-connected flyback-based microinverter with primary and secondary side decoupling approachAfshari, Hossein; Husev, Oleksandr; Vinnikov, Dmitri; Matiushkin, Oleksandr; Vosoughi Kurdkandi, Naser2022 IEEE 63th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON): conference proceedings2022 / p. 1-6 https://doi.org/10.1109/RTUCON56726.2022.9978855
- Comparison of impedance-source networks for two and multilevel buck-boost inverter applicationsHusev, Oleksandr; Blaabjerg, Frede; Roncero-Clemente, Carlos; Romero-Cadaval, Enrique; Vinnikov, Dmitri; Siwakoti, Yam P.; Strzelecki, RyszardIEEE transactions on power electronics2016 / p. 7564-7579 : ill https://doi.org/10.1109/TPEL.2016.2569437 https://www.scopus.com/sourceid/26055 https://www.scopus.com/record/display.uri?eid=2-s2.0-84977105446&origin=inward&txGid=f3cd9ad1cefc39a9700a43b2fc310ddd https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20POWER%20ELECTR&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000379956000015
- Comparison of isolated boost full bridge converters for power factor correction applicationZinchenko, Denys; Blinov, Andrei; Vinnikov, Dmitri2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 7 p. : ill https://doi.org/10.1109/RTUCON48111.2019.8982361
- Comparison of machine learning algorithms for classification of partial discharge signals in medium voltage componentsKumar, Haresh; Shafiq, Muhammad; Hussain, Ghulam Amjad; Kauhaniemi, Kimmo2021 IEEE PES Innovative Smart Grid Technologies Europe (ISGT-Europe), 8-21 October, 2021 : proceedings2021 / 6 p https://doi.org/10.1109/ISGTEurope52324.2021.9639923
- Comparison of machine learning based methods for residential load forecastingShabbir, Noman; Ahmadiahangar, Roya; Kütt, Lauri; Rosin, Argo2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 4 p. : ill https://doi.org/10.1109/PQ.2019.8818267
- Comparison of model order reduction methods for a switched reluctance machine characterizationBoumesbah, Allaa Eddine; Martin, Floran; Krebs, Guillaume; Belahcen, Anouar; Marchand, ClaudeIEEE transactions on magnetics2021 / art. 9355193, 7 p. : ill https://doi.org/10.1109/TMAG.2021.3059969 https://www.scopus.com/sourceid/17364 https://www.scopus.com/record/display.uri?eid=2-s2.0-85100916931&origin=inward&txGid=e8318173e83cf7f4b30b680f829d62d4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20MAGN&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000633502700012
- Comparison of (N+1) redundancy and fault tolerance approaches in single-stage series-connected isolated MVAC to LVDC convertersBakeer, Abualkasim Ahmed Ali; Chub, Andrii; Blinov, Andrei; Bayhan, Sertac; Vinnikov, Dmitri2023 International Conference on Clean Electrical Power (ICCEP)2023 / p. 469-474 : ill https://doi.org/10.1109/ICCEP57914.2023.10247478
- Comparison of performance of phase-shift and asymmetrical pulse width modulation techniques for the novel galvanically isolated buck-boost dc-dc converter for photovoltaic applicationsVinnikov, Dmitri; Chub, Andrii; Kosenko, Roman; Zakis, Janis; Liivik, ElizavetaIEEE journal of emerging and selected topics in power electronics2017 / p. 624-637 : ill https://doi.org/10.1109/JESTPE.2016.2631628 https://www.scopus.com/sourceid/21100338359 https://www.scopus.com/record/display.uri?eid=2-s2.0-85019105575&origin=inward&txGid=48db5f16199302bc870eb444b41d3ad3 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20J%20EM%20SEL%20TOP%20P&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000400896000004
- Comparison of renewable electricity generation options with household electrical load patternsAuväärt, Aivar; Rosin, Argo; Rosin, Kai; Drovtar, Imre; Lehtla, MadisProceedings : IECON 2013 - 39th Annual Conference of the IEEE Industrial Electronics Society : Austria Center Vienna, Vienna, Austria, 10-14 November, 20132013 / p. 1555-1560 : ill https://ieeexplore.ieee.org/document/6699364 https://doi.org/10.1109/IECON.2013.6699364
- Comparison of single-rate and two-rate neural control approaches for coaxial rotor/ducted-fan TUAV for situational awareness applicationsPedai, Andrus; Astrov, Igor; Udal, Andres2018 IEEE 16th International Symposium on Intelligent Systems and Informatics (SISY), 13-15 Sept. 2018 : proceedings2018 / p. 63–68 : ill http://dx.doi.org/10.1109/SISY.2018.8524720
- Comparison of soft switching methods of DC-AC full bridge high-frequency link converterKorkh, Oleksandr; Blinov, Andrei; Kosenko, Roman; Vinnikov, Dmitri59th Annual International Scientific Conference on Power and Electrical Engineering : November 12, 13, 2018, Riga Technical University (RTUCON) : conference proceedings2018 / 6 p. : ill https://doi.org/10.1109/RTUCON.2018.8659898
- Comparison of spectrally sparse excitation signals for fast bioimpedance spectroscopy : in the context of cytometryOjarand, Jaan; Land, Raul; Min, MartMeMeA 2012 IEEE International Symposium on Medical Measurements and Applications : proceedings : May 18-19, 2012, Budapest, Hungary2012 / 5 p. : ill https://ieeexplore.ieee.org/document/6226631
- Comparison of synchronous reluctance machine and permanent magnet-assisted synchronous reluctance machine performance characteristicsHeidari, Hamidreza; Andriushchenko, Ekaterina; Rassõlkin, Anton; Kallaste, Ants; Vaimann, Toomas; Demidova, Galina2020 27th International Workshop on Electric Drives: MPEI Department of Electric Drives 90th Anniversary (IWED), Moscow, Russia, January 27-30, 20202020 / 5 p. : ill https://doi.org/10.1109/IWED48848.2020.9069583
- Comparison of the carbon nanofiber-/fiber- and silicone-based electrodes for bioimpedance measurementsKõiv, Hip; Pesti, Ksenija; Min, Mart; Land, Raul; Must, IndrekIEEE transactions on instrumentation and measurement2020 / p. 1455-1463 https://doi.org/10.1109/TIM.2019.2962297 https://www.scopus.com/sourceid/15361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85082172355&origin=inward&txGid=24e9b1ea964703862f4ef5b96483bd2f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20INSTRUM%20MEAS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000521164300004
- Comparison of the impact of different household occupancies on load matching algorithmsHäring, Tobias; Ahmadiahangar, Roya; Rosin, Argo; Biechl, Helmuth; Korõtko, Tarmo2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 6 p. : ill https://doi.org/10.1109/PQ.2019.8818270
- A comparison of the vector control of synchronous reluctance motor and permanent magnet-assisted synchronous reluctance motorHeidari, Hamidreza; Rassõlkin, Anton; Kallaste, Ants; Vaimann, Toomas; Andriushchenko, Ekaterina; Belahcen, Anouar; Razzaghi, Arash2021 XVIII International Scientific Technical Conference Alternating Current Electric Drives (ACED) : proceedings2021 / 6 p. : ill https://doi.org/10.1109/ACED50605.2021.9462265
- Comparison of three MPPT algorithms for three-level neutral-point-clamped qZ-Source inverter [Electronic resource]Roncero-Clemente, Carlos; Husev, Oleksandr; Minambres-Marcos, Victor; Stepenko, Serhii; Romero-Cadaval, Enrique; Vinnikov, DmitriCPE 2013 : 2013 International Conference on Compatibility and Power Electronics (CPE) : June 5-7, 2013, Ljubljana, Slovenia : conference proceedings2013 / p. 80-85 : ill [CD-ROM] https://ieeexplore.ieee.org/document/6601133
- Compensation topologies in IPT Systems : standards, requirements, classification, analysis, comparison and applicationShevchenko, Viktor; Husev, Oleksandr; Strzelecki, RyszardIEEE Access2019 / art. 2937891, p. 120559–120580 : ill https://doi.org/10.1109/ACCESS.2019.2937891 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85082546431&origin=inward&txGid=34bbd0fb6c93b633840c000c1c20156d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000571093600001
- Competence Based Interactive Learning with HomeLabKits : experience and work in progressJaanus, Martin; Umbleja, Kadri; Kukk, Vello; Udal, Andres2014 IEEE Global Engineering Education Conference (EDUCON) : Istanbul, Turkey, April 2-5, 20142014 / 1082-1084 : ill
- Competence-based approach to learningUmbleja, Kadri; Kukk, Vello; Jaanus, Martin2013 IEEE Global Engineering Education Conference (EDUCON) : 13-15 March 2013, Technische Universität Berlin, Berlin, Germany2013 / p. 552-559 : ill
- A competitive framework for the participation of multi-microgrids in the community energy trading market: A case studyZahraoui, Younes; Korõtko, Tarmo; Rosin, Argo; Zidane, Tekai Eddine Khalil; Agabus, Hannes; Mekhilef, SaadIEEE Access2024 / p. 68232-68248 https://doi.org//10.1109/ACCESS.2024.3399168
- Competitiveness of wood fuel in the conditions of open electricity market in Post-Kyoto period : case study for EstoniaVolkova, Anna; Roos, Inge; Soosaar, Sulev; Siirde, AndresProceedings of 3rd International Conference on Clean Electrical Power, Renewable Energy Resources Impact, Ischia, Italy, 14th-16th June, 20112011 / p. 660-667 : ill
- Complex delay fault reasoning with sequential 7-valued algebraKõusaar, Jaak; Ubar, Raimund-Johannes; Aleksejev, Igor2015 16th Latin American Test Symposium (LATS 2015) : Puerto Vallarta, Mexico, 25-27 March 20152015 / [6] p. : ill http://dx.doi.org/10.1109/LATW.2015.7102403
- Complex division by Baudhayan triplet algorithm using novel state of the art USP-awadhoot dividerPatankar, Udayan Sunil; Koel, Ants; Patankar, Sunil M.; Flores, Miguel E.IEEE 4th Advanced Information Management, Communicates, Electronic and Automation Control Conference (IMCEC)2021 / p. 1918-1922 https://doi.org/10.1109/IMCEC51613.2021.9482010
- Complexities associated with modeling of residential electricity consumptionIqbal, Muhammad Naveed; Kütt, Lauri; Rosin, Argo59th Annual International Scientific Conference on Power and Electrical Engineering : November 12, 13, 2018, Riga Technical University (RTUCON) : conference proceedings2018 / 6 p. : ill https://doi.org/10.1109/RTUCON.2018.8659812
- Compliance assessment of a phasor measurement unit to IEC 61000-4-30 class a for power quality measurements in transmission systemsLöper, Mari; Kilter, Jako; Stiegler, Robert; Meyer, Jan2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 6 p https://doi.org/10.1109/PQ.2019.8818272
- Compliance testing concept of grid-connected inverter-based resources using simulated inputDvoracek, Jiri; Drapela, Jiri; Moravek, Jan; Vojtek, Martin; Toman, Petr; Rassõlkin, Anton; Vaimann, Toomas2023 23rd International Scientific Conference on Electric Power Engineering (EPE)2023 / p. 1-6 https://doi.org/10.1109/EPE58302.2023.10149232
- Components selection of local power supply system for sparsley populated areasKallaste, Ants; Vaimann, Toomas; Janson, Kuno; Bolgov, Viktor14th International Scientific Conference Electric Power Engineering 2013 : EPE 2013 : [proceedings]2013 / p. 181-186 : ill
- Composing graph theory and deep neural networks to evaluate SEU type soft error effectsBalakrishnan, Aneesh; Lange, Thomas; Glorieux, Maximilien; Alexandrescu, Dan; Jenihhin, Maksim9th Mediterranean Conference on Embedded Computing (MECO'2020), Budva, Montenegro, 8-11 June 20202020 https://doi.org/10.1109/MECO49872.2020.9134279
- Comprehensive comparison of grid-connected flyback-based microinverter with primary and secondary side decoupling approachAfshari, Hossein; Husev, Oleksandr; Matiushkin, Oleksandr; Pourjafar, Saeed; Kurdkandi, Naser Vosoughi; Vinnikov, DmitriIEEE transactions on industry applications2024 https://doi.org/10.1109/TIA.2024.3452069
- Comprehensive comparison of isolated high step-up dc-dc converters for low power applicationPourjafar, Saeed; Afshari, Hossein; Mohseni, Parham; Husev, Oleksandr; Matiushkin, Oleksandr; Shabbir, NomanIEEE open journal of power electronics2024 / p. 1149–1161 https://doi.org/10.1109/OJPEL.2024.3433554
- Comprehensive computations of the response of faulty cage induction machinesBelahcen, Anouar; Martinez, Javier; Vaimann, ToomasProceedings of the 2014 International Conference on Electrical Machines (ICEM)2014 / p. 1504-1509 : ill
- A comprehensive market mechanism for decentralized P2P energy trading platformZahraoui, Younes; Korõtko, Tarmo; Agabus, Hannes; Rosin, Argo2024 IEEE 22nd Mediterranean Electrotechnical Conference (MELECON)2024 / p. 972-977 https://doi.org/10.1109/MELECON56669.2024.10608740
- A comprehensive methodology for stress procedures evaluation and comparison for Burn-In of automotive SoCAppello, D.; Bernardi, P.; Giacopelli, G.; Ruberg, PriitProceedings of the 2017 Design, Automation & Test in Europe (DATE) : 27-31 March 2017, Swisstech, Lausanne, Switzerland2017 / p. 646-649 : ill https://doi.org/10.23919/DATE.2017.7927068
- Comprehensive performance and robustness analysis of 2D turn models for network-on-chipsAzad, Siavoosh Payandeh; Niazmand, Behrad; Janson, Karl; Kogge, Thilo; Raik, Jaan; Jervan, Gert; Hollstein, Thomas2017 IEEE International Symposium on Circuits and Systems (ISCAS)2017 / p. 1476-1479 : ill https://doi.org/10.1109/ISCAS.2017.8050634 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85032697758&origin=inward&txGid=dda03cc1450744ab538f2e32b253fba8 https://www.webofscience.com/wos/woscc/full-record/WOS:000424890101140
- A comprehensive review on DC fast charging stations for electric vehicles: standards, power conversion technologies, architectures, energy management, and cybersecurityArena, Gabriele; Chub, Andrii; Lukianov, Mykola; Strzelecki, Ryszard; Vinnikov, Dmitri; de Carne, GiovanniIEEE open journal of power electronics2024 / p. 1573-1611 https://doi.org/10.1109/OJPEL.2024.3466936
- A comprehensive survey on revolutionizing connectivity through artificial intelligence-enabled digital twin network in 6GSheraz, Muhammad; Chuah, Teong Chee; Lee, Ying Loong; Alam, Muhammad Mahtab; Al-Habashna, Ala'a; Han, ZhuIEEE Access2024 / p. 49184-49215 https://doi.org/10.1109/ACCESS.2024.3384272
- Computation of hysteresis torque and losses in a bearingless synchronous reluctance machineBelahcen, Anouar; Mukhrejee, Victor; Martin, Floran; Rasilo, PaavoIEEE transactions on magnetics2018 / art. 7300804, 4 p. : ill https://doi.org/10.1109/TMAG.2017.2765080 https://www.scopus.com/sourceid/17364 https://www.scopus.com/record/display.uri?eid=2-s2.0-85035780661&origin=inward&txGid=0e0575c50d8733050ef03bc33742d9e5 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20MAGN&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000426003900109
- Computation of stator vibration of an induction motor using nodal magnetic forces [Online resource]Sathyan, Sabin; Belahcen, Anouar; Kataja, Juhani; Vaimann, Toomas; Sobra, Jan2016 XXII International Conference on Electrical Machines (ICEM) : SwissTech Convention Center, Lausanne, Switzerland, 04-07 September, 2016 : proceedings2016 / p. 2198-2203 : ill https://doi.org/10.1109/ICELMACH.2016.7732827
- Computational fluid dynamics simulations of a biomimetic underwater robotListak, Madis; Pugal, Deivid; Kruusmaa, Maarja13th International Conference on Advanced Robotics : Korea, Jeju, 21-24 August, 20072007 / p. 314-319 https://ims.ut.ee/images/d/d4/Listak_icar2007_15_03.pdf
- Computational intelligence approach for estimation of vehicle insurancere risk levelVassiljeva, Kristina; Tepljakov, Aleksei; Petlenkov, Eduard; Netšajev, Eduard2017 International Joint Conference on Neural Networks (IJCNN 2017) : Anchorage, Alaska, USA, 14-19 May 20172017 / p. 4073-4078 : ill https://doi.org/10.1109/IJCNN.2017.7966370 https://www.scopus.com/sourceid/96537 https://www.scopus.com/record/display.uri?eid=2-s2.0-85031038976&origin=inward&txGid=ff07b6f5b85e446a6c7238aa62d17ee4 https://www.webofscience.com/wos/woscc/full-record/WOS:000426968704043
- Concept maps in Power Electronics education [Electronic resource]Raud, Zoja; Vodovozov, Valery; Lehtla, TõnuCPE 2013 : 2013 International Conference on Compatibility and Power Electronics (CPE) : June 5-7, 2013, Ljubljana, Slovenia : conference proceedings2013 / p. 280-285 : ill [CD-ROM]
- Concept of hardware-in-the-loop test platform for microgrid with multi-agent approachKuzin, Aleksei; Lukichev, Dmitry; Demidova, Galina; Anuchin, Alecksey2020 IEEE 61st International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, Nov. 5-7, 2020 : conference proceedings2020 https://doi.org/10.1109/RTUCON51174.2020.9316626
- Concept of the test Bench for electrical vehicle propulsion drive data acquisitionRassõlkin, Anton; Rjabtšikov, Viktor; Vaimann, Toomas; Kallaste, Ants; Kuts, Vladimir2020 XI International Conference on Electrical Power Drive Systems (ICEPDS), Saint-Petersburg, Russia, October 4-7, 20202020 / p. 35-42 : ill https://doi.org/10.1109/ICEPDS47235.2020.9249078
- Concept of wireless low-voltage DC socket for the residential house applicationShevchenko, Viktor; Husev, Oleksandr; Pakhaliuk, Bohdan; Vinnikov, Dmitri; Strzelecki, RyszardIEEE Access2024 / p. 143226-143236 https://doi.org/10.1109/ACCESS.2024.3471691
- Concepts of additively manufactured electrical machines and componentsVaimann, Toomas; Tiismus, Hans; Kallaste, Ants2023 23rd International Scientific Conference on Electric Power Engineering (EPE)2023 / 6 p https://doi.org/10.1109/EPE58302.2023.10149252
- Conceptual modelling of an EV-permanent magnet synchronous motor digital twinMohamed, Mahmoud Ibrahim Hassanin; Rjabtšikov, Viktor; Jegorov, Sergei; Rassõlkin, Anton; Vaimann, Toomas; Kallaste, Ants2022 IEEE 20th International Power Electronics and Motion Control Conference (PEMC) : Brasov, Romania, 25-28 Sept. 2022 : proceedings2022 / p. 156-160 https://doi.org/10.1109/PEMC51159.2022.9962943
- Conceptual test bench for small class unmanned autonomous vehicle performance estimationPütsep, Kristjan; Rassõlkin, Anton; Vaimann, Toomas2021 IEEE 19th International Power Electronics and Motion Control Conference, The Silesian University of Technology Gliwice, Poland, 25 - 29 April, 2021 (PEMC) : proceedings2021 / p. 695-698 : ill https://doi.org/10.1109/PEMC48073.2021.9432509
- Condition monitoring of electrical machines and its relation to industrial internetBelahcen, Anouar; Gyftakis, Konstantinos N.; Martinez, Javier; Climente-Alarcon, Vicente; Vaimann, Toomas2015 IEEE Workshop on Electrical Machines Design, Control and Diagnosis (WEMDCD) : proceedings : Castello del Valentino, Torino, Italy, 26-27 March, 20152015 / p. 233-241 : ill http://dx.doi.org/10.1109/WEMDCD.2015.7194535
- Conditional fault collapsing in digital circuits with shared structurally synthesized BDDs [Online resource]Jürimägi, Lembit; Ubar, Raimund-JohannesBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p. : ill https://doi.org/10.1109/BEC.2018.8600967
- A configurable radio jamming prototype for physical layer attacks against malicious unmanned aerial vehiclesCaforio, Giulio; Scazzoli, Davide; Reggiani, Luca; Magarini, Maurizio; Le Moullec, Yannick; Alam, Muhammad Mahtab2020 17th Biennial Baltic electronics conference, Tallinn, Estonia, October 6-8, 2020 : proceedings2020 / 6 p. : ill https://doi.org/10.1109/BEC49624.2020.9277253
- Consequences of distributed generation on power qualityNiitsoo, Jaan; Kütt, Lauri; Taklaja, Paul14th International Scientific Conference on Electric Power Engineering 2013 : Kouty nad Desnou, Czech Republic, 28 – 30 May 2013 : proceedings2013 / p. 163-167
- Constrained intelligent frequency control in an AC Microgrid : an Online reinforcement learning based PID tuning approachNosrati, Komeil; Tepljakov, Aleksei; Petlenkov, Eduard; Škiparev, Vjatšeslav; Belikov, Juri; Levron, Yoash2023 IEEE Power & Energy Society General Meeting (PESGM)2023 / 5 p. : ill https://doi.org/10.1109/PESGM52003.2023.10252482
- Constraint-based hierarchical untestability identification for synchronous sequential circuitsRaik, Jaan; Rannaste, Anna; Jenihhin, Maksim; Viilukas, Taavi; Ubar, Raimund-Johannes; Fujiwara, HideoSixteenth IEEE European Test Symposium : 23-27 May 2011, Trondheim2011 / p. 147-152
- Constraint-based test pattern generation at the register-transfer levelViilukas, Taavi; Raik, Jaan; Jenihhin, Maksim; Ubar, Raimund-Johannes; Krivenko, AnnaProceedings of the 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems : April 14-16, 2010, Vienna, Austria2010 / p. 352-357 : ill http://dx.doi.org/10.1109/DDECS.2010.5491752
- Construction of nonlinear feedback strategies for energy storage systems : a stochastic dynamic programming approachChowdhury, Nilanjan Roy; Baimel, Dmitry; Belikov, Juri; Levron, Yoash2021 IEEE Madrid PowerTech2021 / 6 l https://doi.org/10.1109/PowerTech46648.2021.9494819
- Contention aware scheduling for NoC-based real-time systemsTagel, Mihkel; Ellervee, Peeter; Hollstein, Thomas; Jervan, GertNorchip 2011 : 14-15 November 2011, Lund2011 / [4] p.: ill
- Continuous blood pressure monitoring using pulse wave delayMeigas, Kalju; Kattai, Rain; Lass, Jaanus2001 Conference Proceedings of the 23rd Annual International Conference of the
IEEE Engineering in Medicine and Biology Society : 25-28 October 2001, Istanbul, Turkey. Vol. 42001 / p. 3171-3174 : ill
- Continuous, near-bed current velocity estimation using pressure and inertial sensingRistolainen, Asko; Tuhtan, Jeffrey Andrew; Kruusmaa, MaarjaIEEE sensors journal2019 / p. 12398 - 12406 : ill https://doi.org/10.1109/JSEN.2019.2937954 https://www.scopus.com/sourceid/15047 https://www.scopus.com/record/display.uri?eid=2-s2.0-85076367594&origin=inward&txGid=97cf26496ddd59107c5873a9c26e08ba https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20SENS%20J&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000506895500079
- Control allocation for 6-DOF control of a highly manoeuvrable under-actuated bio-inspired AUVRemmas, Mohamed Walid; Meurer, Christian; Chemori, Ahmed; Kruusmaa, MaarjaIEEE Transactions on Robotics2023
- Control challenges of 3D printed switched reluctance motorRassõlkin, Anton; Kallaste, Ants; Vaimann, Toomas; Tiismus, Hans2019 26th International Workshop on Electric Drives : Improvement in Efficiency of Electric Drives (IWED) : Moscow Power Engineering Institute, Moscow, Russia
30th of January - 02nd of February 2019 : proceedings2019 / 5 p. : ill https://doi.org/10.1109/IWED.2019.8664282
- Control features of multicell-type current regulator for resistance weldingVerbytskyi, Ievgen; Bondarenko, Oleksandr; Liivik, Elizaveta2017 IEEE 58th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) : proceedings : Latvia, Riga, 12-13 October, 20172017 / [5] p. : ill https://doi.org/10.1109/RTUCON.2017.8124846
- Control of an inverted pendulum using an ionic polymer-metal composite actuatorHunt, Andres; Chen, Zheng; Tan, Xiaobo; Kruusmaa, MaarjaIEEE/ASME Transactions in Mechatronics : 2010 IEEE/ASME International Conference on Advanced Intelligent Mechatronics : July 6-9, 2010, Montréal, Canada2010 / p. 163-168 : ill https://ieeexplore.ieee.org/document/5695913
- Control of bidirectional grid-forming inverter for nearly zero energy buildingsRoasto, Indrek; Jalakas, Tanel; Rosin, Argo59th Annual International Scientific Conference on Power and Electrical Engineering : November 12, 13, 2018, Riga Technical University (RTUCON) : conference proceedings2018 / 6 p. : ill https://doi.org/10.1109/RTUCON.2018.8659848
- Control of energy storage devices under uncertainty using nonlinear feedback systemsLevron, Yoash; Belikov, Juri2020 IEEE Power & Energy Society General Meeting : (PESGM 2020)
Montreal, Quebec, Canada, 2-6 August 2020 : proceedings2020 / p. 95-99 https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=9281879
- Control of hovering manoeuvres in unmanned helicopter for enhanced situational awarenessAstrov, Igor; Pedai, AndrusProceedings of the 2009 IEEE International Conference on Mechatronics and Automation : August 9-12, Changchun, China2009 / p. 143-146 : ill https://ieeexplore.ieee.org/document/5156580
- Control of pick-and-place robots with reduced power consumptionVodovozov, Valery; Raud, Zoja; Petlenkov, Eduard2023 IEEE 64th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, October 9-10, 2023 : conference proceedings2023 / 4 p https://doi.org/10.1109/RTUCON60080.2023.10413180
- Control scheme of a Three-Phase Three-Level NPC qZ-Source inverter with LCL filter for RES applicationsRoncero-Sanches, Pedro; Roncero-Clemente, Carlos; Romero-Cadaval, Enrique; Husev, Oleksandr; Makovenko, ElenaProcedings of the IECON 2016 - 42nd Annual Conference of the IEEE Industrial Electronics Society : Florence, Italy, October 24-27 20162016 / p. 6540-6547 https://doi.org/10.1109/IECON.2016.7793338 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85010030922&origin=inward&txGid=1dbd8a53a3770140796902ebe1250460 https://www.webofscience.com/wos/woscc/full-record/WOS:000399031206137
- A control strategy for a grid-connected PV system with unbalanced loads compensationFernao Pires, Vitor; Husev, Oleksandr; Vinnikov, Dmitri; Martins, Joao2015 9th International Conference on Compatibility and Power Electronics (CPE) : proceedings : Faculty of Science and Technology (FCT), Caparica, Lisbon, Portugal, 24-26 June, 20152015 / p. 154-159 : ill https://doi.org/10.1109/CPE.2015.7231065
- Control system simulation of a 40kW half-bridge isolated DC/DC converter [Electronic resource]Roasto, Indrek; Vinnikov, DmitriEPE 2007 : 12th European Conference on Power Electronics and Applications : 2-5 September 2007, Aalborg, Denmark2007 / [10] p. [CD-ROM] https://ieeexplore.ieee.org/document/4417224
- Controlled bidirectional DC circuit breaker with zero negative current for high load shift applicationsRaghavendra I, Venkata; Naik, Satish B.; Sreekanth, Thamballa; Chub, AndriiIEEE transactions on industry applications2022 / p. 6942-6951 https://doi.org/10.1109/TIA.2022.3193345 https://www.scopus.com/sourceid/17361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85135203594&origin=inward&txGid=5c3311dc0b90b2ce3107494f0a385027 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20IND%20APPL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000892924400010
- Controller design for interleaved bidirectional dc-dc converter with coupled inductorsTytelmaier, Kostiantyn; Husev, Oleksandr; Veligorskyi, Oleksandr; Khomenko, Maksym; Khomenko, Oleh2017 IEEE First Ukraine Conference on Electrical and Computer Engineering (UKRCON) : May 29 - June 2, 2017, Kyiv, Ukraine : conference proceedings2017 / p. 570-573 : ill https://doi.org/10.1109/UKRCON.2017.8100306
- Controlling a battery energy storage system to support residential photovoltaic installationsFernao Pires, Vitor; Martins, Joao; Roncero-Clemente, Carlos; Romero-Cadaval, Enrique; Husev, Oleksandr2017 IEEE International Symposium on Industrial Electronics (ISIE) : Edinburgh International Conference Centre, Edinburgh, Scotland, United Kingdom, 19-21 June, 2017 : proceedings2017 / p. 1769-1774 : ill https://doi.org/10.1109/ISIE.2017.8001516
- Convergence of output signal based combination of two LMS adaptive filtersTrump, TõnuIEEE 26-th Convention of Electrical and Electronics Engineers in Israel (IEEEI 2010) : Nov. 17-20, 2010, Eilat2010 / p. 109-113 https://ieeexplore.ieee.org/document/5661910
- Conversion error of exponential to second order polynomial ZIP load model conversionLeinakse, Madis; Kilter, Jako2018 IEEE International Conference on Environment and Electrical Engineering and 2018 IEEE Industrial and Commercial Power Systems Europe (EEEIC / I&CPS Europe), 12-15 June 2018 : conference proceedings2018 / p. 1-5 https://doi.org/10.1109/EEEIC.2018.8493667
- Converter state-space model estimation using dynamic mode decompositionSuskis, Pavels; Zakis, Janis; Suzdalenko, Alexander; Khang, Huynh Van; Rassõlkin, Anton; Vaimann, Toomas; Pomarnacki, Raimondas2022 IEEE 7th International Energy Conference (ENERGYCON)2022 / 5 l https://doi.org/10.1109/ENERGYCON53164.2022.9830201
- Cooperative control of flywheel energy storage system and diesel generator for frequency regulation of microgrids using digital FIR filtersFaraji, M.; Mahdavi, Mohammad Saeed; Gharehpetian, Gevork B.; Ahmadiahangar, Roya; Rosin, Argo2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 6 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227448
- Cooperative interference avoidance scheduler for radio resource management in NB-IoT systemsMwakwata, Collins Burton; Alam, Muhammad Mahtab; Le Moullec, Yannick; Malik, Hassan; Pärand, SvenEuCNC 2020: 2020 European Conference on Networks and Communications (EuCNC), Dubrovnik, Croatia, Croatia, 15-18 June 2020 : proceedings2020 / p. 154-159 https://doi.org/10.1109/EuCNC48522.2020.9200967
- Cooperative scheduler to enhance massive connectivity in 5G and beyond by minimizing interference in OMA and NOMAMwakwata, Collins Burton; Elgarhy, Osama Mohamed Mostafa; Alam, Muhammad Mahtab; Le Moullec, Yannick; Pärand, Sven; Trichias, Konstantinos; Ramantas, KostasIEEE Systems Journal2022 / p. 5044-5055 https://doi.org/10.1109/JSYST.2021.3114338 https://www.scopus.com/sourceid/11300153734 https://www.scopus.com/record/display.uri?eid=2-s2.0-85117088220&origin=inward&txGid=acc9927740ed3e3deb4bc50113268ff0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20SYST%20J&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000732071600001
- Coordination issues in modular systemsLints, Taivo4th Annual IEEE Systems Conference : San Diego, CA, April 5-8, 20102010 / p. 202-206 https://ieeexplore.ieee.org/document/5482482
- Correcting systematic errors in corona losses measured with phasor measurement unitsTuttelberg, Kaur; Löper, Mari; Kilter, JakoIEEE transactions on power delivery2019 / p. 2275-2277 : ill https://doi.org/10.1109/TPWRD.2019.2917610 https://www.scopus.com/sourceid/17370 https://www.scopus.com/record/display.uri?eid=2-s2.0-85077755792&origin=inward&txGid=bbc99fd8a3f6797aae424358c9fcbfff https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20POWER%20DELIVER&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000526764100028
- Correcting tide gauge series due to land uplift and differences between national height systems of the Baltic Sea countriesLiibusk, Aive; Kall, Tarmo; Ellmann, Artu; Kõuts, Tarmo2014 IEEE/OES Baltic International Symposium : 26-29 May 2014, Tallinn, Estonia : [proceedings]2014 / [8] p. : ill
- Corrections to “A novel computational approach for global alignment for multiple biological networks”Ben Yahia, Sadok; Djeddi, Warith Eddine; Nguifo, Engelbert MephuIEEE/ACM transactions on computational biology and bioinformatics2019 / p. 700 https://doi.org/10.1109/TCBB.2019.2895762
- Corrections to “Opportunities and Challenges of Utilizing Additive Manufacturing Approaches in Thermal Management of Electrical Machines”
Ghahfarokhi, Payam Shams; Podgornovs, Andrejs; Kallaste, Ants; Marques Cardoso, Antonio J.; Belahcen, Anouar; Vaimann, Toomas; Tiismus, Hans; Asad, BilalIEEE Access2021 / p. 62532 https://doi.org/10.1109/ACCESS.2021.3074827 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85105477568&origin=inward&txGid=83f46ea2d51f0b45eb6250dc22097d35 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000646206100001
- Correlation between EEG functional connectivity and fasting blood glucose in healthy subjectsPäeske, Laura; Hinrikus, Hiie; Lass, Jaanus; Põld, Toomas; Bachmann, Maie2024 46th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC)2024 / p. 1 - 4 https://ieeexplore.ieee.org/document/10781613 https://doi.org/10.1109/EMBC53108.2024.10781613
- Correlation between electrical bioimpedance and pressure waveform in radial artery and in mechanical pulsating pipe systemMetshein, Margus; Annus, Paul; Land, Raul; Rist, Marek; Min, Mart; Märtens, Olev2020 IEEE International Instrumentation and Measurement Technology Conference (I2MTC 2020), May 25-29, 2020, Dubrovnik, Croatia : proceedings2020 https://doi.org/10.1109/I2MTC43012.2020.9128972
- Cost-effective fault tolerance for CNNs using parameter vulnerability based hardening and pruningAhmadilivani, Mohammad Hasan; Mousavi, Seyedhamidreza; Raik, Jaan; Daneshtalab, Masoud; Jenihhin, Maksim2024 IEEE 30th International Symposium on On-line Testing and Robust System Design (IOLTS) : IOLTS 2024 : July 03rd-05th 2024, Rennes, Brittany, France2024 https://doi.org/10.1109/IOLTS60994.2024.10616072 https://www.scopus.com/record/display.uri?eid=2-s2.0-85201385252&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FIOLTS60994.2024.10616072%29&sessionSearchId=82ea1e262e947a553c0dfd040e2059dd&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001293143000020
- Cost-effective optimization of load shifting in the industry by using intermediate storagesUuemaa, Priit; Kilter, Jako; Valtin, Juhan; Drovtar, Imre; Rosin, Argo; Puusepp, AndresConference proceedings of IEEE ISGT Europe 2013 : 4th IEEE/PES Innovative Smart Grid Technologies Europe2013 / [5] p
- Cost-effective piggyback forward dc-dc converterMatiushkin, Oleksandr; Husev, Oleksandr; Afshari, Hossein; Vinnikov, Dmitri; Strzelecki, Ryszard2024 IEEE Applied Power Electronics Conference and Exposition (APEC)2024 / p. 2106-2111 https://doi.org/10.1109/APEC48139.2024.10509355
- Cost-efficient improvement of power system’s reliability within limited fundsAndreesen, Guido; Leinakse, Madis; Kilter, Jako; Landsberg, Mart2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 7 p https://doi.org/10.1109/RTUCON62997.2024.10830808
- Cost-efficient real-time condition monitoring and fault diagnostics system for BLDC motor using IoT and Machine learningRaja, Hadi Ashraf; Raval, Hardik; Vaimann, Toomas; Kallaste, Ants; Rassõlkin, Anton; Belahcen, AnouarDiagnostika '22 : 2022 International Conference on Diagnostics in Electrical Engineering : conference proceedings2022 / 4 p. https://doi.org/10.1109/Diagnostika55131.2022.9905102
- Coverage analysis of NB-IoT and sigfox : two Estonian University campuses as a case studyPoddar, Nishant; Mass, Jakob; Khan, Sikandar Muhammad Zulqarnain2020 16th International Wireless Communications & Mobile Computing Conference (IWCMC) : Limassol, Cyprus, June 15 - 19, 20202020 / p. 1491-1497 : ill https://doi.org/10.1109/IWCMC48107.2020.9148570
- Creating "Creative Class"Henno, Jaak; Jaakkola, HannuMIPRO 2010 : 33rd International Convention on Information and Communication Technology, Electronics and Microelectronics : May 24 - 28, 2010 Opatija, Croatia : proceedings2010 / p. 803-806 : ill https://www.semanticscholar.org/paper/Creating-%E2%80%9Ccreative-class%E2%80%9D-Henno-Jaakkola/2f8077da0bc83f319cb8005c393077fcebd5e8b9
- Creating interactive learning objects with web servicesRobal, Tarmo; Kalja, Ahto2009 EAEEIE annual conference : 20th Annual Conference of the European Association for Education in Electrical and Information Engineering : Valencia, Spain, June 22-24, 20092009 / [6] p
- Creative knowledge employees’ assesment of flexitime utilisability : [Online resource]Hazak, Aaro2018 : 10th International Conference on Knowledge and Smart Technology (KST) : Cybernetics in the Next Decades, 31 Jan.-3 Feb. 2018 : [abstracts]2018 / p. 90-94 : ill http://dx.doi.org/10.1109/KST.2018.8426204
- Critical parameter analysis and design of the Quasi-Z-Source inverterLiu, Wenjie; Yang, Yongheng; Liivik, Elizaveta; Vinnikov, Dmitri; Blaabjerg, Frede2019 IEEE 2nd Ukraine Conference on Electrical and Computer Engineering : UKRCON-2019 : conference proceedings2019 / p. 474-480 : ill https://doi.org/10.1109/UKRCON.2019.8879831
- CROW2 : Internet of humans-based platform for disaster relief and emergency communicationBen Arbia, Dhafer; Alam, Muhammad Mahtab; Attia, Rabah; Ben Hamida, Elyes; Kadri, Abdullah14th IEEE Annual Consumer Communications & Networking Conference, CCNC 2017 : Las Vegas, NV, USA, January 8-11, 20172017 / p. 578-579 : ill https://doi.org/10.1109/CCNC.2017.7983174
- CZTS monograin membranes for photoelectrochemical fuel production modifications for fuel productionKouhiisfahani, Elham; Samieipour, Ali; Morawietz, Tobias; Kraut, Jürgen; Hiesgen, Renate; Meissner, Dieter5th International Conference on Clean Electrical Power : Renewable Energy Resources Impact : Taormina (Italy), 16th-18th June 20152015 / p. 222-225 : ill http://dx.doi.org/10.1109/ICCEP.2015.7177627
- CZTS monograin membranes for photoelectrochemical fuel production preparation and characterizationSamieipour, Ali; Kouhiisfahani, Elham; Galajev, Semjon; Meissner, Dieter5th International Conference on Clean Electrical Power : Renewable Energy Resources Impact : Taormina (Italy), 16th-18th June 20152015 / p. 212-215 : ill http://dx.doi.org/10.1109/ICCEP.2015.7177625
- Current harmonics of EV chargers and effects of diversity to charging load current distortions in distribution networksKütt, Lauri; Saarijärvi, Eero; Lehtonen, Matti; Mõlder, Heigo; Niitsoo, Jaan2013 International Conference on Connected Vehicles and Expo (ICCVE) : proceedings : Las Vegas, Nevada, USA, 2-6 December 20132013 / p. 726-731 : ill
- Current practice and future challenges for power quality monitoring – CIGRE WG C4.112 perspectiveKilter, Jako2012 IEEE 15th International Conference on Harmonics and Quality of Power : proceedings of ICHQP2012 : Kowloon Shangri-La Hotel, Hong Kong, 17-20 June, 20122012 / p. 390-397 : ill
- Current practice on power quality legislation within European transmission systems – results from Horizon 2020 project MIGRATEKilter, Jako; Trummal, Tarmo; Löper, Mari; Palu, Ivo2020 19th International Conference on Harmonics and Quality of Power (ICHQP), 6-7 July 2020, Dubai, UAE2020 https://doi.org/10.1109/ICHQP46026.2020.9177907
- Current-fed dual inductor push-pull partial power converterAbdelrahim Abdelghafour, Omar Mohamed; Vinnikov, Dmitri; Chub, Andrii; Blinov, Andrei2022 IEEE 20th International Power Electronics and Motion Control Conference (PEMC) : Brasov, Romania, 25-28 Sept. 2022 : proceedings2022 / p. 327-332 https://doi.org/10.1109/PEMC51159.2022.9962937
- Current-fed partial power converter for photovoltaic aplications in DC microgridsJalakas, Tanel; Kosenko, Roman; Chub, Andrii; Vinnikov, Dmitri; Blinov, AndreiIECON 2021 – 47th Annual Conference of the IEEE Industrial Electronics Society2021 / p. 1-5 : ill https://doi.org/10.1109/IECON48115.2021.9589899 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85119509406&origin=inward&txGid=535d2d161148b1a54d7e78ea43c5c462 https://www.webofscience.com/wos/woscc/full-record/WOS:000767230604139
- Curricula reforms through structural reformsVaimann, Toomas; Rassõlkin, Anton; Palu, Ivo2020 XI International Conference on Electrical Power Drive Systems (ICEPDS), Saint-Petersburg, Russia, October 4-7, 20202020 / p. 1-5 : ill https://doi.org/10.1109/ICEPDS47235.2020.9249084
- Curriculum for business information technology studies at BSc and MSc levels - observations from a long-term educational endeavourMurtazin, Kristina; Shvets, Oleg; Piho, GunnarProceedings of the 2020 IEEE Global Engineering Education Conference (EDUCON) : Engineering Education for the Future in a Multicultural and Smart World, 27-30 April, 2020, Porto, Portugal2020 / p. 409-418 : ill https://doi.org/10.1109/EDUCON45650.2020.9125345
- Custom simplified machine learning algorithms for fault diagnosis in electrical machinesRaja, Hadi Ashraf; Asad, Bilal; Vaimann, Toomas; Kallaste, Ants; Rassõlkin, Anton; Belahcen, AnouarDiagnostika '22 : 2022 International Conference on Diagnostics in Electrical Engineering : Conference proceedings2022 / 4 p. https://doi.org/10.1109/Diagnostika55131.2022.9905174
- Customization methodology of a Coarse Grained Reconfigurable ArchitectureAzad, Siavoosh Payandeh; Farahini, Nasim; Hemani, AhmedNorchip : 32nd NORCHIP Conference, 27-28 October 2014, Tampere, Finland2014 / [4] p. : ill
- A cyber attack taxonomy for microgrid systemsBahsi, Hayretdin; Dola, Henry Ochieng; Khalil, Shaymaa Mamdouh; Korõtko, Tarmo2022 17th Annual System of Systems Engineering Conference (SOSE)2022 / p. 324-331 https://doi.org/10.1109/SOSE55472.2022.9812642
- Cyber attacks on power system automation and protection and impact analysisRajkumar, Vetrivel Subramaniam; Tealane, Marko; Stefanov, Alexandru; Presekal, Alfan; Palensky, PeterProceedings of 2020 IEEE PES Innovative Smart Grid Technologies Europe (ISGT-Europe), 26-28 October, 20202020 / p. 247–254 https://doi.org/10.1109/ISGT-Europe47291.2020.9248840
- Cyber attacks on protective relays in digital substations and impact analysisRajkumar, Vetrivel Subramaniam; Tealane, Marko; Stefanov, Alexandru; Palensky, Peter8th Workshop on Modeling and Simulation of Cyber-Physical Energy Systems(MSCPES), Virtual Workshop, online, from April 21, 2020 : proceedings2020 / 6 p. : ill https://doi.org/10.1109/MSCPES49613.2020.9133698
- Cyber contingencies impacts analysis in cyber physical power systemChen, Keren; Wen, Fushuan; Palu, IvoIEEE International Conference on Energy Internet : ICEI 2019, Nanjing, China, 27-31 May, 2019 : proceedings2019 / p. 37-41 : ill http://doi.org/10.1109/ICEI.2019.00013
- Cyber security risk analysis for a virtual assistant G2C digital service using FAIR modelDreyling III, Richard Michael; Jackson, Eric Blake; Pappel, Ingrid2021 Eighth International Conference on eDemocracy & eGovernment (ICEDEG) : Quito, Ecuador, 28-30 July 20212021 / p. 33-40 https://doi.org/10.1109/ICEDEG52154.2021.9530938
- Cyber-physical control system for autonomous logistic robotPikner, Heiko; Sell, Raivo; Karjust, Kristo; Malayjerdi, Ehsan; Velsker, Tarmo2021 IEEE 19th International Power Electronics and Motion Control Conference, The Silesian University of Technology Gliwice, Poland, 25 - 29 April, 2021 (PEMC) : proceedings2021 / p. 699-704 : ill https://doi.org/10.1109/PEMC48073.2021.9432526
- Cybersecurity test range for autonomous vehicle shuttlesRoberts, Andrew; Snetkov, Nikita; Maennel, Olaf Manuel2021 IEEE European Symposium on Security and Privacy Workshops EuroS&PW 2021 : Virtual Conference, 6-10 September 2021 : proceedings2021 / p. 239-249 : ill https://doi.org/10.1109/EuroSPW54576.2021.00031
- Cylindrical blades Magnus wind turbine optimization and control systemAlassaf, Omar; Lukin, Aleksandr; Demidova, Galina; Kozlov, Gleb; Volkhontsev, Andrey; Poliakov, Nikolai29th International Workshop on Electric Drives: Advances in Power Electronics for Electric Drives (IWED)2022 / p. 1-5 https://doi.org/10.1109/IWED54598.2022.9722582
- DAAG-SNP: energy efficient distance and angulation based agglomerative clustering for sink node placementHanif, Maria; Ahmad, Rizwan; Ahmed, Waqas; Drieberg, Micheal; Alam, Muhammad MahtabIEEE Open Journal of the Communications Society2024 / p. 5013-5026 https://doi.org/10.1109/OJCOMS.2024.3421901
- Data analysis for embedded software performance and energy consumption estimationRuberg, Priit; Liiv, Elvar; Lass, Keijo; Ellervee, Peeter2019 IEEE 2nd Ukraine Conference on Electrical and Computer Engineering : UKRCON-2019 : conference proceedings2019 / p. 928-933 : ill https://doi.org/10.1109/UKRCON.2019.8879787
- Data analysis of building sensors for efficient energy management and future trends in the EUCrasta, Cletus J.; Agabus, Hannes2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 8 p. : ill https://doi.org/10.1109/PQ.2019.8818242
- A data clustering algorithm for mining patterns from event logosVaarandi, RistoProceedings of the 3rd IEEE Workshop on IP Operations & Management (IPOM2003) :Kansas City, Missouri, USA, October 1-3, 20032003 / p. 119-126 : tab
- Data exchange for shared situation awarenessPreden, Jürgo-Sören; Mõtus, Leo; Pahtma, Raido; Meriste, MerikCogSIMA 2012 : 2012 IEEE International Multi-Disciplinary Conference on Cognitive Methods in Situation Awareness and Decision Support : [proceedings]2012 / p. 198-201 : ill https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=6188380
- Data science-based techniques for modelling and diagnostics of battery cells based on end-of-life criteriaGilbert Zequera, Rolando Antonio; Rassõlkin, Anton; Vaimann, Toomas; Kallaste, Ants2023 International Conference on Electrical Drives and Power Electronics (EDPE)2023 / 6 p https://doi.org/10.1109/EDPE58625.2023.10274007
- Data to decision : pushing situational information needs to the edge of the networkPreden, Jürgo-Sören; Kaugerand, Jaanus; Suurjaak, Erki; Astapov, Sergei; Pahtma, Raido; Mõtus, Leo2015 IEEE International Multi-Disciplinary Conference on Cognitive Methods in Situation Awareness and Decision (CogSIMA 2015) : 9-12 March 2015, Orlando, Florida, USA2015 / p. 158-164 : ill http://dx.doi.org/10.1109/COGSIMA.2015.7108192
- Data type dependent energy consumption estimationRuberg, Priit; Lass, Keijo; Ellervee, Peeter2nd IEEE NORCAS Conference : 1-2 November 2016, Copenhagen, Denmark2016 / [5] p. : ill https://doi.org/10.1109/NORCHIP.2016.7792916
- Data-driven cross-layer fault management architecture for sensor networksVihman, Lauri; Kruusmaa, Maarja; Raik, Jaan16th European Dependable Computing Conference : EDCC 2020 : Virtual Conference, Munich, Germany, 7-10 September 2020 : proceedings2020 / art. 20094188, p. 33-40 https://doi.org/10.1109/EDCC51268.2020.00015
- Data-driven risk preference analysis in day-ahead electricity marketZhao, Huan; Zhao, Junhua; Qiu, Jing; Liang, Gaoqi; Wen, Fushuan; Xue, Yusheng; Dong, Zhao YangIEEE transactions on smart grid2021 / p. 2508-2517 : ill https://doi.org/10.1109/TSG.2020.3036525
- Day-ahead PV output power forecasting utilizing boosting recursive lightGBM-LSTM frameworkHokmabad, Hossein Nourollahi; Husev, Oleksandr; Vinnikov, Dmitri; Belikov, Juri; Petlenkov, EduardIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2023) : proceedings2023 / 5 p https://doi.org/10.1109/ISGTEUROPE56780.2023.10408090
- DBaaS comparison : Amazon vs. MicrosoftAstrova, Irina; Koschel, Arne; Eickemeyer, Chris; Kersten, Jan; Offel, NormanInternational Conference on Information Society (i-Society 2017)2017 / p. 15-21 http://doi.org/10.23919/i-Society.2017.8354663
- DC droop control strategies and tuning principlesRoasto, Indrek; Blinov, Andrei; Vinnikov, Dmitri; Mackay, Laurens; Jalakas, Tanel2023 IEEE 64th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, October 9-10, 2023 : conference proceedings2023 https://doi.org/10.1109/RTUCON60080.2023.10412947
- DC fast charging of electric vehicles : a review on architecture and power conversion technologyArena, Gabriele; Emiliani, Pietro; Chub, Andrii; Vinnikov, Dmitri; de Carne, Giovanni2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 6 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227492
- DC grid interface converter based on three-phase isolated matrix topology with phase-shift modulationEmiliani, Pietro; Blinov, Andrei; Chub, Andrii; de Carne, Giovanni; Vinnikov, Dmitri2022 IEEE 13th International Symposium on Power Electronics for Distributed Generation Systems (PEDG)2022 / 6 l. https://doi.org/10.1109/PEDG54999.2022.9923256
- DC integration of residential photovoltaic systems : a surveyAbdelrahim Abdelghafour, Omar Mohamed; Chub, Andrii; Vinnikov, Dmitri; Blinov, AndreiIEEE Access2022 / p. 66974-66991 https://doi.org/10.1109/ACCESS.2022.3185788 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85133607841&origin=inward&txGid=eadede976ccfb918971015f6ff431e70 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000818802000001
- Dc leakage current in isolated grid-connected dc nanogrid - origins and elimination methodsAzizi, Mohammadreza; Husev, Oleksandr; Veligorskyi, Oleksandr; Turzvnski, Marek; Strzelecki, Ryszard2024 IEEE 18th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2024 / 6 p https://doi.org/10.1109/CPE-POWERENG60842.2024.10604426
- DC nano grid control in the residential energy router with the presence of constant power loadsNajafzadeh, Mahdiyyeh; Vinnikov, Dmitri; Husev, Oleksandr; Roasto, Indrek2022 IEEE 7th International Energy Conference (ENERGYCON)2022 / p. 1-6 https://doi.org/10.1109/ENERGYCON53164.2022.9830523
- The DC transformer power electronic building block : powering next-generation converter designFlores-Bahamonde, Freddy; Renaudineau, Hugues; Chub, AndriiIEEE industrial electronics magazine2023 / p. 21-35 https://doi.org/10.1109/MIE.2022.3147168 https://www.scopus.com/sourceid/5800207505 https://www.scopus.com/record/display.uri?eid=2-s2.0-85125312661&origin=inward&txGid=7be9bb3887af48dec0e23dbc3ade7373 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20IND%20ELECTRON%20M&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000761353200001
- DC-link capacitor minimization in residential energy router through battery utilizationNajafzadeh, Mahdiyyeh; Vinnikov, Dmitri; Husev, Oleksandr; Jalakas, Tanel; Roasto, Indrek2021 IEEE 15th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : Florence, Italy, 14-16 July 20212021 / p. 1-6 : ill https://doi.org/10.1109/CPE-POWERENG50821.2021
- DC-ready flyback-based micro-converterAfshari, Hossein; Husev, Oleksandr; Matiushkin, Oleksandr; Vinnikov, Dmitri; Roncero-Clemente, Carlos2024 IEEE 18th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2024 / 6 p https://doi.org/10.1109/CPE-POWERENG60842.2024.10604344
- Dead-beat-based model predictive current control for the dual-purpose dc-dc/ac PWM modular power converterRoncero-Clemente, Carlos; Escalona, Javier-Gutierrez; Pires, V. Fernao; Matiushkin, Oleksandr; Milanes-Montero, Maria Isabel; Romero-Cadaval, Enrique2024 IEEE 18th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2024 / 6 p https://doi.org/10.1109/CPE-POWERENG60842.2024.10604328
- Decarbonization dispatching strategy for electric vehicles based on life cycle analysisLi, Zhonghui; Xu, Chengwei; Deng, Qing; Wen, Fushuan; Palu, Ivo2020 IEEE International Conference on Environment and Electrical Engineering and 2020 IEEE Industrial and Commercial Power Systems Europe (EEEIC / I&CPS Europe), Madrid, Spain, 9-12 June 2020 : proceedings2020 / 5 p. : ill https://doi.org/10.1109/EEEIC/ICPSEurope49358.2020.9160631
- A decentralized distribution market mechanism considering renewable generation units with zero marginal costsYang, Jiajia; Dong, Zhao Yang; Wen, Fushuan; Chen, Guo; Qiao, YichenIEEE Transactions on Smart Grid2020 / p. 1724 - 1736 https://doi.org/10.1109/TSG.2019.2942616 https://www.scopus.com/sourceid/19700170610 https://www.scopus.com/record/display.uri?eid=2-s2.0-85079747680&origin=inward&txGid=2ae42b89fe0ffa241b9282bad3e5c1f5 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20SMART%20GRID&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000519592100069
- Decomposition model of contract for difference considering market power mitigationXu, Chengwei; Pang, Kaiyuan; Wen, Fushuan; Palu, Ivo; Gong, Jianrong; Xie, Yuzhe; Chen, Cheng2020 IEEE International Conference on Environment and Electrical Engineering and 2020 IEEE Industrial and Commercial Power Systems Europe (EEEIC / I&CPS Europe), Madrid, Spain, 9-12 June 2020 : proceedings2020 / 5 p https://doi.org/10.1109/EEEIC/ICPSEurope49358.2020.9160725
- Decomposition of the EBI signal into components using two channel cross-compensating singular spectrum analysisKrivošei, Andrei; Min, Mart; Annus, Paul; Butsenko, Maksim2018 IEEE International Symposium on Medical Measurements and Applications (MeMeA 2018) : proceedings : Rome, Italy, June 11-13, 20182018 / 5 p. : ill
- Deep CNN based classification of the Archimedes spiral drawing tests to support diagnostics of the Parkinson’s diseaseNõmm, Sven; Zarembo, Sergei; Medijainen, Kadri; Taba, Pille; Toomela, AaroIFAC-Papers OnLine2020 / p. 260-264 https://doi.org/10.1016/j.ifacol.2021.04.185 https://www.scopus.com/sourceid/21100456158 https://www.scopus.com/record/display.uri?eid=2-s2.0-85107834230&origin=inward&txGid=713f6a8792a6b3887c3efe139a0cf4f7 https://www.webofscience.com/wos/woscc/full-record/WOS:000656589700046
- A deep learning approach for LoS/NLoS identification via PRACH in UAV-assisted public safety networksScazzoli, Davide; Magarini, Maurizio; Reggiani, Luca; Le Moullec, Yannick; Alam, Muhammad Mahtab2020 IEEE 31st Annual International Symposium on Personal, Indoor and Mobile Radio Communications, August 31 - September 3, 2020 in London, United Kingdom : proceedings2020 / 6 p https://doi.org/10.1109/PIMRC48278.2020.9217127
- Deep learning for detection of pavement distress using nonideal photographic imagesTepljakov, Aleksei; Riid, Andri; Pihlak, Rene; Vassiljeva, Kristina; Petlenkov, Eduard2019 42nd International Conference on Telecommunications and Signal Processing (TSP)2019 / p. 195-200 : ill https://doi.org/10.1109/TSP.2019.8769086
- Deep Learning methodology for charging management applications in battery cells based on Neural NetworksZequera, Rolando Antonio Gilbert; Rjabtšikov, Viktor; Rassõlkin, Anton; Vaimann, Toomas; Kallaste, AntsIEEE Transactions on Intelligent Vehicles2024 https://doi.org/10.1109/TIV.2024.3417216
- DeepAxe : a framework for exploration of approximation and reliability trade-offs in DNN acceleratorsTaheri, Mahdi; Riazati, Mohamad; Ahmadilivani, Mohammad Hasan; Jenihhin, Maksim; Daneshtalab, Masoud; Raik, Jaan; Sjödin, Mikael; Lisper, BjörnarXiv.org2023 / 8 p. : ill https://doi.org/10.48550/arXiv.2303.08226
- DeepFlexiHLS : Deep Neural Network Flexible High-Level Synthesis Directive GeneratorRiazati, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Lisper, BjörnRiazati, M.; Daneshtalab, M.; Sjodin, M.; Lisper, B.2022 / p. 1-6 https://doi.org/10.1109/NorCAS57515.2022.9934617
- DeepHLS: A complete toolchain for automatic synthesis of deep neural networks to FPGARiazati, Mohammad; Daneshtalab, Masoud; Sjodin, Mikael; Lisper, BjornICECS 2020 - 27th IEEE International Conference on Electronics, Circuits and Systems, November 23-25, 2020, Virtual Conference : Proceedings2020 / 4 p https://doi.org/10.1109/ICECS49266.2020.9294881
- Deep-learning based blood cells classification and initial edge device implementationIslam, Md. Raisul; Le Moullec, Yannick; Afrin, Fariha; Ahmed, Faisal2022 18th Biennial Baltic Electronics Conference (BEC)2022 / 6 p. : ill https://doi.org/10.1109/BEC56180.2022.9935610
- Defect-oriented modul-level fault diagnosis in digital circuitsKostin, Sergei; Ubar, Raimund-Johannes; Raik, JaanProceedings of the 2011 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems : April 13-15, 2011, Gottbus, Germany2011 / p. 81-86
- Denoising and extraction of partial discharge pulse characteristics using wavelet denoising and local maxima methodChoudhary, Maninder; Palu, Ivo; Kiitam, Ivar; Shafiq, Muhammad; Taklaja, Paul; Bhattarai, Abhinav2024 IEEE International Conference on Power and Energy (PECon)2024 / p. 221-225 https://doi.org/10.1109/PECon62060.2024.10827085
- DenseDisp: Resource-Aware Disparity Map Estimation by Compressing Siamese Neural ArchitectureLoni, Mohammad; Zoljodi, Ali; Maier, Daniel; Majd, Amin; Daneshtalab, Masoud; Sjödin, Mikael; Juurlink, Ben H.H.; Akbari, Reza2020 IEEE Congress on Evolutionary Computation (CEC) : conference proceedings2020 / 8 p https://doi.org/10.1109/CEC48606.2020.9185611
- Depth control of an autonomous underwater vehicle in situational awareness a mission [Electronic resource]Astrov, Igor; Rüstern, EnnuICROS-SICE 2009 proceedings : ICROS-SICE International Joint Conference 2009 : Fukuoka, Japan, August 18-21, 20092009 / p. 560-564 [DVD-ROM]
- Depth control of the biomimetic U-CAT turtle-like AUV with experiments in real operating conditionsChemori, Ahmed; Kuusmik, Keijo; Salumäe, Taavi; Kruusmaa, Maarja2016 IEEE International Conference on Robotics and Automation : Stockholm, Sweden, May 16th-21st2016 / p. 4750-4755 : ill https://doi.org/10.1109/ICRA.2016.7487677 https://www.scopus.com/sourceid/25456 https://www.scopus.com/record/display.uri?eid=2-s2.0-84977572543&origin=inward&txGid=553454bcc21303757243c29d0977736e https://www.webofscience.com/wos/woscc/full-record/WOS:000389516204013
- Derivation of Bioimpedance Model Data Utilizing a Compact Analyzer and Two Capacitive Electrodes : A Forearm ExampleOjarand, Jaan; Priidel, Eiko; Min, MartIEEE Transactions on Biomedical Circuits and Systems2022 / p. 891-901 https://doi.org/10.1109/TBCAS.2022.3206666 https://www.scopus.com/sourceid/5700191219 https://www.scopus.com/record/display.uri?eid=2-s2.0-85139429384&origin=inward&txGid=490e0d912c15f13c6adea4ac4e031fb4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20BIOMED%20CIRC%20S&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000928191200019
- Description of learning methods using six-dimensional space frameworkBrindfeldt, Eduard; Müür, Margus; Pettai, Elmo15th International Power Electronics and Motion Control Conference, EPE-PEMC 2012 ECCE Europe, Novi Sad, Serbia2012 / 8 p. : ill https://ieeexplore.ieee.org/document/6397358
- Description of practical load harmonic current emission due to voltage harmonic variationDaniel, Kamran; Kütt, Lauri; Iqbal, Muhammad Naveed; Shabbir, Noman; Jarkovoi, Marek2021 IEEE 62nd International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2021 / p. 1–6 https://doi.org//10.1109/RTUCON53541.2021.9711594
- Design and comparison of three-level three-phase T-source invertersShults, Tatiana; Husev, Oleksandr; Blaabjerg, Frede2015 IEEE 5th International Conference on Power Engineering, Energy and Electrical Drives (POWERENG) : proceedings : May 11-13, 2015, Riga, Latvia2015 / p. 564-569 : ill http://dx.doi.org/10.1109/PowerEng.2015.7266378
- Design and control for high reliability power electronics: state of the art and future trendsAlcaide, A. M.; Buticchi, Giampaolo; Chub, Andrii; Dalessandro, L.IEEE journal of emerging and selected topics in industrial electronics2024 / p. 50-61 https://doi.org/10.1109/JESTIE.2023.3287513
- Design and discretization of multi-resonant current controllersElkayam, Moria Sassonker; Vinnikov, Dmitri2024 19th Conference on Ph.D Research in Microelectronics and Electronics (PRIME)2024 / 4 p https://doi.org/10.1109/PRIME61930.2024.10559737
- Design and evaluation of a base module of active power electronic transformerRoasto, Indrek; Minambres-Marcos, Victor; Romero-Cadaval, Enrique; Strzelecki, Ryszard2015 9th International Conference on Compatibility and Power Electronics (CPE) : proceedings : Faculty of Science and Technology (FCT), Caparica, Lisbon, Portugal, 24-26 June, 20152015 / p. 384-389 : ill http://dx.doi.org/10.1109/CPE.2015.7231106
- Design and implementation of fractional-order PID controllers for a fluid tank systemTepljakov, Aleksei; Petlenkov, Eduard; Belikov, Juri; Halas, Miroslav2013 American Control Conference (ACC) : Washington, DC, USA, June 17-19, 20132013 / p. 1777-1782 : ill https://doi.org/10.1109/acc.2013.6580093 https://www.scopus.com/sourceid/18692 https://www.scopus.com/record/display.uri?eid=2-s2.0-84883537485&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2Facc.2013.6580093%29&sessionSearchId=2578bba73f583d2598e5d9854114f0a3
- Design and modelling of electric drive in database environment [Electronic resource]Vodovozov, Valery; Pettai, Elmo; Auväärt, AivarISIE08 : 2008 IEEE International Symposium on Industrial Electronics : 30 June - 2 July 2008, Cambridge, United Kingdom2008 / p. 764-767 : ill. [CD-ROM] https://ieeexplore.ieee.org/document/4676891
- Design and performance of laser additively manufactured core induction motorTiismus, Hans; Kallaste, Ants; Naseer, Muhammad Usman; Vaimann, Toomas; Rassõlkin, AntonIEEE Access2022 / p. 50137-50152 https://doi.org/10.1109/ACCESS.2022.3173317 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85130078168&origin=inward&txGid=5ac5cb3362bb9c80de0a448ef7c606fb https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000795585800001
- Design and resonance analysis of tubular structured dual stator linear oscillating actuatorAhmad, Zahoor; Kallaste, Ants; Vaimann, Toomas; Sardar, Muhammad Usman2024 International Conference on Electrical Machines (ICEM)2024 / 7 p https://doi.org/10.1109/ICEM60801.2024.10700213
- Design and simulation verification of low power wireless charging battery system for electric bicycleShevchenko, Viktor; Husev, Oleksandr; Pakhaliuk, Bohdan; Kondratenko, Igor2018 IEEE 3rd International Conference on Intelligent Energy and Power Systems (IEPS)2018 / p. 22-27 https://doi.org/10.1109/IEPS.2018.8559531
- Design and testing of an universal autonomous surface vehicleRoasto, Indrek; Jalakas, Tanel; Mõlder, Heigo; Möller, Taavi; Tabri, Kristjan; Enok, Mart2021 IEEE 19th International Power Electronics and Motion Control Conference, The Silesian University of Technology Gliwice, Poland, 25 - 29 April, 2021 (PEMC) : proceedings2021 / p. 705-710 : ill https://doi.org/10.1109/PEMC48073.2021.9432567
- Design and verification of secure cache wrapper against access-driven side-channel attacksNiazmand, Behrad; Azad, Siavoosh Payandeh; Jervan, Gert; Sepulveda, JohannaEuromicro Conference on Digital System Design : DSD 2019 : 28 - 30 August 2019 Kallithea, Chalkidiki, Greece : proceedings2019 / p. 672-676 : ill https://doi.org/10.1109/DSD.2019.00108
- Design considerations of dual-active bridge DC grid-forming converter for DC buildingsCarvalho da Silva, Edivan Laercio; Sidorova, Aleksandra; Blinov, Andrei; Chub, Andrii; Vinnikov, DmitriIEEE transactions on industrial electronics2024 / p. 10601-10611 https://doi.org/10.1109/TIE.2023.3331125
- Design for accelerated testing of DC-link capacitors in photovoltaic inverters based on mission profilesSangwongwanich, Ariya; Shen, Yanfeng; Chub, Andrii; Liivik, Elizaveta; Vinnikov, Dmitri; Wang, Huai; Blaabjerg, FredeIEEE transactions on industry applications2021 / p. 741−753 https://doi.org/10.1109/TIA.2020.3030568 https://www.scopus.com/sourceid/17361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85098859371&origin=inward&txGid=00df5c8156ab4513b5b0a836663dfdeb https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20IND%20APPL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000604908700067
- Design issues of SSCBs for residential DC microgridJalakas, Tanel; Chub, Andrii; Roasto, Indrek; Vinnikov, Dmitri2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 6 p https://doi.org/10.1109/RTUCON62997.2024.10830826
- Design methodology for fault-tolerant heterogeneous MPSoC under real-time constraintsAmin, Mohsin; Tagel, Mihkel; Jervan, Gert; Hollstein, Thomas7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip : July 9-11, 2012 : York, United Kingdom : proceedings2012 / [6 p.] : ill
- Design obfuscation versus testFarahmandi, Farimah; Sinanoglu, Ozgur; Blanton, Ronald; Pagliarini, Samuel Nascimento2020 IEEE European Test Symposium (ETS) : ETS 2020, May 25 - 29, 2020, Tallinn, Estonia2020 / 10 p https://doi.org/10.1109/ETS48528.2020.9131590
- Design of a Collective Intelligence Platform for facilitating the silver economy : an exhaustive user-centered evaluationButt, Sidra Azmat; Suran, Shweta; Pappel, Ingrid; Weck, Marina; Draheim, Dirktechrxiv.org2023 / 36 p. : ill https://doi.org/10.36227/techrxiv.24213168.v1
- Design of a generalized fractional-order PID controller using operational amplifiersGonzalez, Emmanuel A.; Alimisis, Vassilis; Psychalinos, Costas; Tepljakov, Aleksei2018 25th IEEE International Conference on Electronics Circuits and Systems (ICECS), Bordeaux, France, December 9–12, 20182018 / p. 253-256 : ill http://dx.doi.org/10.1109/ICECS.2018.8617954
- Design of a MATLAB-based teaching tool in introductory fractional-order systems and controls [Electronic resource]Tepljakov, Aleksei; Petlenkov, Eduard; Gonzalez, Emmanuel A.; Petraš, Ivo2017 IEEE Frontiers in Education Conference (FIE) : proceedings2017 / 4 p. : ill [USB] https://doi.org/10.1109/FIE.2017.8190681
- Design of a remote emotional requirements elicitation feedback methodJackson, Eric Blake; Norta, AlexanderThird International Workshop on Affective Computing in Requirements Engineering, AffectRE : 1 september 2020, Zurich, Switzerland : Proceedings2020 / 8 p https://doi.org/10.1109/AffectRE51213.2020.00007
- Design of a shape-changing anthropomorphic mannequin for tailoring applicationsAbels, Artur; Kruusmaa, MaarjaICAR 2009 : 14th International Conference on Advanced Robotics : Munich, Germany, June 22-26, 20092009 / [6] p
- Design of a simple modular active power electronic transformerStrzelecki, Ryszard; Roasto, Indrek; Romero-Cadaval, EnriqueProceedings : IECON 2014 - 40th Annual Conference of the IEEE Industrial Electronics Society : Sheraton Hotel Dallas, Dallas, TX, USA, 30. October-01. November, 20142014 / p. 1410-1415 : ill
- Design of an additively manufactured polymer composite electrical machineSarap, Martin; Kallaste, Ants; Naseer, Muhammad Usman; Tiismus, Hans; Rjabtšikov, Viktor; Ghahfarokhi, Payam Shams; Vaimann, Toomas; Aman, Alexander; Kutia, Mykhailo2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 5 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227413
- Design of high frequency transformer for isolated bridge-type PFC converterZinchenko, Denys; Blinov, Andrei; Vinnikov, Dmitri; Ormisson, Andres2020 IEEE 4th International Conference on Intelligent Energy and Power Systems (IEPS), 06.07.2020 - 10.07.2020, Istanbul, Turkey2020 / 8 p. : ill https://doi.org/10.1109/IEPS51250.2020.9263134
- Design of LCL-filter for grid-connected buck-boost inverter based on unfolding circuitMatiushkin, Oleksandr; Husev, Oleksandr; Vinnikov, Dmitri; Kütt, Lauri2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 4 p. : ill https://doi.org/10.1109/PQ.2019.8818248
- Design of multiphase single-switch impedance-source convertersChub, Andrii; Vinnikov, Dmitri; Liivik, Liisa; Jalakas, Tanel; Blinov, AndreiIECON 2018 - 44th Annual Conference of the IEEE Industrial Electronics Society : proceedings2018 / p. 3718-3724 : ill https://doi.org/10.1109/IECON.2018.8591361
- Design of retuning fractional PID controllers for a closed-loop magnetic levitation control systemTepljakov, Aleksei; Petlenkov, Eduard; Belikov, Juri; Gonzalez, Emmanuel A.2014 13th International Conference on Control, Automation, Robotics & Vision (ICARCV 2014) : Marina Bay Sands, Singapore, 10-12th December 20142014 / p. 1345-1350 : ill
- Design of rotors for synchronous reluctance motor : analytical treatment and optimizationOrlova, Svetlana; Pugachov, Vladislav; Rassõlkin, Anton; Kallaste, Ants; Vaimann, Toomas2019 21st European Conference on Power Electronics and Applications (EPE '19 ECCE Europe), 3-5 Sept. 2019, Genova, Italy : proceedings2019 / 9 p. : ill https://doi.org/10.23919/EPE.2019.8914760
- Design of solid state circuit breakerJalakas, Tanel; Chub, Andrii; Roasto, Indrek; Vinnikov, Dmitri2022 IEEE 63th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON): conference proceedings2022 / p. 1-5 https://doi.org/10.1109/RTUCON56726.2022.9978903
- Design of three-phase three-level CIC T-source inverter with maximum boost controlShults, Tatiana; Husev, Oleksandr; Roncero-Clemente, Carlos; Blaabjerg, Frede; Strzelecki, RyszardIECON 2015 - Yokohama : 41st Annual Conference of the IEEE Industrial Electronics Society : November 9-12, 2015, Pacifico Yokohama, Yokohama, Japan2015 / p. 004447-004452 : ill http://dx.doi.org/10.1109/IECON.2015.7392792
- Design optimization of permanent magnet clutchAndriushchenko, Ekaterina; Kallaste, Ants; Belahcen, Anouar; Heidari, Hamidreza; Vaimann, Toomas; Rassõlkin, Anton2020 International Conference on Electrical Machines (ICEM), 23-26 August 2020, Gothenburg, Sweden : online : proceedings2020 / p. 436−440 https://doi.org/10.1109/ICEM49940.2020.9270726
- Design principle of a biomimetic underwater robot U-CATSalumäe, Taavi; Raag, Rasmus; Rebane, Jaan; Ernits, Andres; Toming, Gert; Ratas, Mart; Kruusmaa, MaarjaOCEANS´14 MTS/IEEE St. John's : St. Johns, Newfoundland, Canada, 14-19 September 20142014 / [5] p. : ill
- Design procedure and preliminary analysis for the introduction of axial asymmetry in the synchronous reluctance machinesNaseer, Muhammad Usman; Kallaste, Ants; Asad, Bilal; Vaimann, Toomas; Rassõlkin, Anton2023 IEEE Workshop on Electrical Machines Design, Control and Diagnosis (WEMDCD) : proceedings2023 / 6 p. : ill https://doi.org/10.1109/WEMDCD55819.2023.10110903
- Design templates for mobile robot conceptual designSell, Raivo; Tamre, Mart2007 IEEE/ASME International Conference on Advanced Intelligent Mechatronics2007 / [6] p https://ieeexplore.ieee.org/document/4412593
- Design understanding : from logic to specificationFey, Goerschwin; Ghasempouri, Tara; Jacobs, Swen; Raik, JaanProceedings of the 2018 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) : October 8-10, 2018, Verona, Italy2018 / p. 172–175 : ill https://doi.org/10.1109/VLSI-SoC.2018.8644732
- Designing a digital collaborative platform for the silver economy: inception and conceptualizationButt, Sidra Azmat; Rava, Karin; Kangilaski, Taivo; Pappel, Ingrid; Draheim, Dirk2021 Eighth International Conference on eDemocracy and eGovernment (ICEDEG) : Quito, Ecuador, 28-30 July 20212021 / p. 47-54 : ill https://doi.org/10.1109/ICEDEG52154.2021.9530937
- Designing Compact Convolutional Neural Network for Embedded Stereo Vision SystemsLoni, Mohammad; Majd, Amin; Loni, Abdolah; Daneshtalab, Masoud; Sjödin, Mikael; Troubitsyna, Elena2018 IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip : MCSoC 2018 : proceedings2018 / p. 244–251 : ill https://doi.org/10.1109/MCSoC2018.2018.00049
- Designing reliable cyber-physical systems : overview associated to the special session at FDL'16Aleksandrowicz, Gadi; Arbel, Eli; Bloem, Roderick; Devadze, Sergei; Jenihhin, Maksim; Jutman, Artur; Raik, Jaan; Shibin, KonstantinThe 2016 Forum on Specification & Design Languages : proceedings : Bremen, Germany, September 14-16, 20162016 / [8] p. : ill https://doi.org/10.1109/FDL.2016.7880382
- Desired trajectory generation of a quadrotor helicopter using hybrid control for enhanced situational awarenessAstrov, Igor; Pedai, Andrus; Rüstern, EnnuProceedings of the 2010 IEEE International Conference on Information and Automation : June 20-23, Harbin, China2010 / p. 1003-1007 : ill https://ieeexplore.ieee.org/document/5512295
- Detailed analysis of the Luria's alternating series tests for Parkinson's disease diagnosticsNõmm, Sven; Bardõš, Konstantin; Toomela, Aaro; Medijainen, Kadri; Taba, Pille17th IEEE International Conference on Machine Learning and Applications : ICMLA 2018, 17–20 December 2018, Orlando, Florida, USA : proceedings2018 / p. 1347–1352 https://doi.org/10.1109/ICMLA.2018.00219
- Detecting and mitigating Low-and-Slow DoS attacks in NoC-based MPSoCsChaves Arroyave, Cesar Giovanni; Azad, Siavoosh Payandeh; Sepulveda, Johanna; Hollstein, Thomas2019 14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) : July 1-3 2019, York - United Kingdom : proceedings2019 / p. 82-89 : ill https://doi.org/10.1109/ReCoSoC48741.2019.9034934
- Detection and evaluation of driver distraction using machine learning and fuzzy logicAksjonov, Andrei; Nedoma, Pavel; Vodovozov, Valery; Petlenkov, Eduard; Herrmann, MartinIEEE Transactions on Intelligent Transportation Systems2019 / p. 2048 - 2059 https://doi.org/10.1109/TITS.2018.2857222 https://www.scopus.com/sourceid/18378 https://www.scopus.com/record/display.uri?eid=2-s2.0-85052713176&origin=inward&txGid=fd3034becbdf270425f050cddefcb597 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20INTELL%20TRANSP&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000470039700006
- Detection of cracks in a sawblade by eddy current measurementsMärtens, Olev; Land, Raul; Metshein, Margus; Abdullayev, Anar; Vennikas, Henri; Le Moullec, Yannick2024 19th Biennial Baltic Electronics Conference (BEC)2024 / 6 p https://doi.org/10.1109/BEC61458.2024.10737981
- Detection, identification and tracking of mobile objects with distributed system of systemsRiid, Andri; Preden, Jürgo-Sören; Astapov, SergeiProceedings of the 9th International Conference on System of Systems Engineering (SoSE 2014) : 9-13 June 2014, Stamford Grand, Glenelg, Australia2014 / p. 224-229 : ill
- Determination of core losses using an inverse modeling techniqueOsemwinyen, Osaruyi; Hemeida, Ahmed; Ghahfarokhi, Payam Shams; Belahcen, AnouarIEEE Access2022 / p. 29224-29232 https://doi.org/10.1109/ACCESS.2022.3158365 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85126305168&origin=inward&txGid=228470a0165dec8b6f84c7b889b98e94 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000772378300001
- Determination of forced convection coefficient over a flat side of coilGhahfarokhi, Payam Shams; Kallaste, Ants; Vaimann, Toomas; Rassõlkin, Anton; Belahcen, Anouar2017 IEEE 58th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) : proceedings : Latvia, Riga, 12-13 October, 20172017 / [4] p. : ill https://doi.org/10.1109/RTUCON.2017.8124759
- Determined-safe faults identification : a step towards ISO26262 hardware compliant designsAugusto da Silva, Felipe; Bagbaba, Ahmet Cagri; Sartoni, Sandro; Cantoro, Riccardo; Sonza Reorda, Matteo; Hamdioui, Said; Sauer, Christian2020 25th IEEE European Test Symposium (ETS)2020 / 6 p. : ill https://doi.org/10.1109/ETS48528.2020.9131568
- Determining a device crossover point in CPU/GPU systems for streaming applicationsKanur, Sudeep; Lund, Wictor; Tsiopoulos, Leonidas; Lilius, Johan2015 IEEE Global Conference on Signal and Information Processing : GlobalSIP 2015 : Orlando, FL, USA, December 14-16, 20152015 / p. 1417-1421 : ill http://dx.doi.org/10.1109/GlobalSIP.2015.7418432
- Determining cost-efficient sequence of condition inspection based on estimated condition dataAndreesen, Guido; Leinakse, Madis; Kilter, Jako; Landsberg, MartIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2024) : proceedings2024 / 5 p https://doi.org/10.1109/ISGTEUROPE62998.2024.10863436
- Determining necessary length of the alternating series test for Parkinson's disease modellingNõmm, Sven; Kossas, Tanel; Toomela, Aaro; Medijainen, Kadri; Taba, Pille2019 International Conference on Cyberworlds : CW 2019 : 2-4 October 2019, Kyoto, Japan : proceedings2019 / p. 261-266 : ill http://doi.org/10.1109/CW.2019.00050
- Determining optimisation framework for local energy communitiesDrovtar, Imre; Korõtko, Tarmo; Mutule, Anna; Kairisa, Evita; Rosin, Argo2022 IEEE 7th International Energy Conference (ENERGYCON)2022 / 7 p https://doi.org/10.1109/ENERGYCON53164.2022.9830444
- Determining regional sea surface topography by GNSS surveys on iceLiibusk, Aive; Märdla, Silja; Ellmann, Artu; Oja, Tõnis2014 IEEE/OES Baltic International Symposium : 26-29 May 2014, Tallinn, Estonia : [proceedings]2014 / [9] p. : ill
- Determining the thermal conductivity of additively manufactured metal specimensSarap, Martin; Kallaste, Ants; Ghahfarokhi, Payam Shams; Tiismus, Hans; Vaimann, Toomas2022 29th International Workshop on Electric Drives: Advances in Power Electronics for Electric Drives (IWED)2022 / 4 p https://doi.org/10.1109/IWED54598.2022.9722591
- Developing a translation technique for converged TSN-5G communicationSatka, Zenepe; Pantzar, David; Magnusson, Alexander; Ashjaei, Mohammad; Fotouhi, Hossein; Sjödin, Mikael; Daneshtalab, Masoud; Mubeen, SaadCommunication in Automation : 18th IEEE International Workshop on Factory Communication Systems 2022 : WFCS 20222022 / 8 p https://doi.org/10.1109/WFCS53837.2022.9779191
- Developing intelligent logistics - building a competence model for logistics systems engineerNiine, Tarvo; Koppel, OttProceedings of 2015 IEEE Global Engineering Education Conference (EDUCON) : 18-20 March 2015, Tallinn University of Technology (TUT), Tallinn, Estonia2015 / p. 239-248 : ill http://dx.doi.org/10.1109/EDUCON.2015.7095977
- Development and application of energy producing solar pavement in EstoniaJalakas, Tanel; Chub, Andrii; Vinnikov, Dmitri; Spalatu, Nicolae; Gudkova, Viktoria; Krunks, Malle; Mere, Arvo; Lahi, Allan2022 IEEE 63th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON): conference proceedings2022 / 5 p. : ill https://doi.org/10.1109/RTUCON56726.2022.9978908
- Development and experimental assessment of a flexible robot finGkliva, Roza; Sfakiotakis, Michael; Kruusmaa, Maarja2018 IEEE International Conference on Soft Robotics (RoboSoft) : proceedings2018 / p. 208-213 : ill https://doi.org/10.1109/ROBOSOFT.2018.8404921
- Development and testing of a compact voice command recognition algorithm for limited complexity microcontroller devicesUdal, Andres; Riid, Andri; Jaanus, Martin; Pärnamets, Kaiser; Lokuta, Madis2018 22nd International Conference Electronics : Palanga, Lithuania, 18-20 June 20182018 / p. 1-4 : ill http://doi.org/10.1109/ELECTRONICS.2018.8443645
- Development and utilization of synthetic signals for fault diagnostics of electrical machinesRaja, Hadi Ashraf; Kudelina, Karolina; Asad, Bilal; Vaimann, Toomas; Rassõlkin, Anton; Kallaste, AntsIEEE journal of emerging and selected topics in industrial electronics2024 / 9 p https://doi.org/10.1109/JESTIE.2024.3395650
- Development of a battery sizing tool for nearly zero energy buildingsAhmadiahangar, Roya; Husev, Oleksandr; Blinov, Andrei; Karami, Hossein; Rosin, ArgoIECON 2020 The 46th Annual Conference of the IEEE Industrial Electronics Society2020 / p. 5149-5154 : ill https://doi.org/10.1109/IECON43393.2020.9254557 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85097797718&origin=inward&txGid=b44d81a46cd9e578b8df4af793a5b7dc https://www.webofscience.com/wos/woscc/full-record/WOS:000637323705028
- Development of a measurement system for estimation of local peripheral arterial stiffness parametersPilt, Kristjan; Karai, Deniss; Fridolin, Ivo2024 19th Biennial Baltic Electronics Conference (BEC)2024 / p. 1-5 https://doi.org/10.1109/BEC61458.2024.10737944 https://www.scopus.com/record/display.uri?eid=2-s2.0-85210563559&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FBEC61458.2024.10737944%29&sessionSearchId=c203b7be808083b37175427746dc4ee5
- Development of a monitoring system on environment and human health to control a smart bikeMakarova, Irina; Boyko, Aleksey; Pashkevich, Anton; Tsybunov, Eduard2020 21th International Carpathian Control Conference (ICCC)2020 / 6 p https://doi.org/10.1109/ICCC49264.2020.9257239
- Development of a power electronics controller with RISC-V based core for security-critical applicationsSwakath, S. U.; Kshirsagar, Abhijit; Kondepu, Koteswararao; Banavath, Satish Naik; Chub, Andrii; Vinnikov, Dmitri2022 IEEE 63th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON): conference proceedings2022 / p. 1-5 https://doi.org/10.1109/RTUCON56726.2022.9978737
- Development of a validation regime for an autonomous campus shuttleMedrano-Berumen, Christopher; Malayjerdi, Mohsen; Ilhan Akbas, Mustafa; Sell, RaivoIEEE SoutheastCon 2020, Raleigh, NC, 28-29 March 2020 : IEEE Region 3's annual conference : virtual : proceedings2020 / 8 p https://doi.org/10.1109/SoutheastCon44009.2020.9249692
- Development of an axial flux SRM through additive manufacturingHussain, Shahid; Kallaste, Ants; Naseer, Muhammad Usman; Tiismus, Hans; Vaimann, Toomas2024 International Conference on Electrical Machines (ICEM)2024 / 6 p https://doi.org/10.1109/ICEM60801.2024.10700569
- Development of automated detection and wireless reporting for a handheld point-of-care testPardy, Tamas; Filograno, Leonardo; Baumann, Cindy; Rang, Toomas2020 17th Biennial Baltic electronics conference, Tallinn, Estonia, October 6-8, 2020 : proceedings2021 / 4 p. : ill https://doi.org/10.1109/BEC49624.2020.9276773
- Development of auxiliary power supplies for the 3.0 kV DC rolling stock [Electronic resource]Vinnikov, Dmitri; Laugis, Juhan; Jalakas, TanelISIE2007 : 2007 IEEE International Symposium on Industrial Electronics : June 4-7, 2007, Vigo, Spain2007 / p. 359-364 [CD-ROM]
- Development of auxiliary power supplies for the 3.0 kV DC rolling stockVinnikov, Dmitri; Laugis, Juhan; Jalakas, TanelISIE2007 : 2007 IEEE International Symposium on Industrial Electronics : June 4-7, 2007, Vigo, Spain : abstract book2007 / p. 61 https://ieeexplore.ieee.org/document/4374624
- Development of digital twin for robotic armBratchikov, Sterpan; Abdullin, Artur; Demidova, Galina; Lukichev, Dmitry2021 IEEE 19th International Power Electronics and Motion Control Conference, The Silesian University of Technology Gliwice, Poland, 25 - 29 April, 2021 (PEMC) : proceedings2021 / p. 717-723 https://doi.org/10.1109/PEMC48073.2021.9432535
- Development of emerging technology-driven NordPlus competence network in the Baltic and Nordic regionsKunicina, Nadezhda; Bruzgien, Rasa; Narbutaite, Lina; Rassõlkin, Anton; Mohamed, Mahmoud Ibrahim Hassanin; Balandin, Sergey2024 35th Conference of Open Innovations Association (FRUCT) : proceedings Proceedings of the2024 / p. 407-412 : ill https://doi.org/10.23919/FRUCT61870.2024.10516359
- Development of experimental load management system for nearly zero-energy buildingMaask, Vahur; Rosin, Argo; Roasto, Indrek59th Annual International Scientific Conference on Power and Electrical Engineering : November 12, 13, 2018, Riga Technical University (RTUCON) : conference proceedings2018 / 5 p. : ill https://doi.org/10.1109/RTUCON.2018.8659826
- Development of high fidelity liver and kidney phantom organs for use with robotic surgical systemsÖpik, Rivo; Hunt, Andres; Ristolainen, Asko; Aubin, Patrick M.; Kruusmaa, MaarjaProceedings of the 4th IEEE RAS & EMBS International Conference on Biomedical Robotics and Biomechatronics (BioRob), 24-27 June 2012, Rome, Italy2012 / p. 425-430 : ill https://ieeexplore.ieee.org/document/6290831
- Development of mutal recognition of education approach in advanced computer-oriented engineering technologies in the Baltic regionKunicina, Nadezhda; Rassõlkin, Anton; Bruzgiene, Rasa; Plonis, Darius; Caiko, J.2023 IEEE 64th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, October 9-10, 2023 : conference proceedings2023 / 6 p https://doi.org/10.1109/RTUCON60080.2023.10413098
- Development of prosumer logical structure and object modelingKorõtko, Tarmo; Rosin, Argo; Ahmadiahangar, Roya2019 IEEE 13th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : [proceedings]2019 / 6 p. : ill https://doi.org/10.1109/CPE.2019.8862390
- Development of realtime co-simulation platform harnessing consumer energy flexibility through an aggregator to provide grid supportShabbir, Noman; Ahamadihangar, R; Rosin, Argo; Kilter, Jako; Martins, JoaoIEEE Transactions on Consumer Electronics2024 https://doi.org/10.1109/TCE.2024.3470241
- Development of students’ activity through on-lecture assessment in electrical engineeringVodovozov, Valery; Raud, Zoja; Gevorkov, LevonProceedings : 2014 IEEE 23rd International Symposium on Industrial Electronics (ISIE) : Grand Cevahir Hotel and Convention Center, Istambul, Turkey, 01-04 June, 20142014 / p. 2213-2217 : ill
- A device for measuring the electrical bioimpedance with variety of electrode placements for monitoring the breathing and heart rateMetshein, Margus2015 26th Irish Signals and Systems Conference (ISSC) : Institute of Technology Carlow, Ireland, June 24-25, 20152015 / [4] p. : ill http://dx.doi.org/10.1109/ISSC.2015.7163748
- A DFT-based approach for condition monitoring of switch-mode power convertersKütt, Lauri; Tiivel, Tuuli; Parker, Martin; Valgur, Laur; Jarkovoi, Marek; Chub, Andrii2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 5 p https://doi.org/10.1109/RTUCON62997.2024.10830915
- Diagnosis and correction of multiple design errors using critical path tracing and mutation analysisHantson, Hanno; Repinski, Urmas; Raik, Jaan; Jenihhin, Maksim; Ubar, Raimund-JohannesLATW 2012 : 13th IEEE Latin-American Test Workshop proceedings : April 10th-13th, 2012, Quito, Ecuador2012 / [6 p.] : ill https://ieeexplore.ieee.org/document/6261234
- Diagnostic modeling of digital systems with low- and high-level decision diagramsUbar, Raimund-JohannesLATW2013 : 14th IEEE Latin-American Test Workshop, Cordoba, Argentina, April 3-5, 2013 : [proceedings]2013 / [1] p
- Diagnostic possibilities of induction motor bearing currentsKudelina, Karolina; Vaimann, Toomas; Rassõlkin, Anton; Kallaste, Ants; Demidova, Galina; Karpovich, Dzmitry2021 XVIII International Scientific Technical Conference Alternating Current Electric Drives (ACED) : proceedings2021 / 5 p. : ill https://doi.org/10.1109/ACED50605.2021.9462298
- Differences in Android behavior between real device and emulator : a malware detection perspectiveGuerra Manzanares, Alejandro; Bahsi, Hayretdin; Nõmm, Sven6th International Conference on Internet of Things: Systems, Management and Security (IOTSMS), Granada, Spain, October 22-25, 20192019 / art. 8939268, p. 399-404 https://doi.org/10.1109/IOTSMS48152.2019.8939268
- Differential pressure sensor speedometer for autonomous underwater vehicle velocity estimationMeurer, Christian; Francisco Fuentes-Perez, Juan; Palomeras, Narcis; Carreras, Marc; Kruusmaa, MaarjaIEEE Journal of Oceanic Engineering2020 / p. 946 - 978 https://doi.org/10.1109/JOE.2019.2907822 https://www.scopus.com/sourceid/17277 https://www.scopus.com/record/display.uri?eid=2-s2.0-85088700907&origin=inward&txGid=092ab65c6c1ae631be5adb77ce9e9658 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20J%20OCEANIC%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000550677800021
- Differential pressure sensors for underwater speedometry in variable velocity and acceleration conditionsFuentes-Perez, Juan Francisco; Meurer, Christian; Tuhtan, Jeffrey Andrew; Kruusmaa, MaarjaIEEE Journal of Oceanic Engineering2018 / p. 418-426 : ill https://doi.org/10.1109/JOE.2017.2767786 https://www.scopus.com/sourceid/17277 https://www.scopus.com/record/display.uri?eid=2-s2.0-85041383844&origin=inward&txGid=0d2834ebdf9b4e9437763a06191981e8 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20J%20OCEANIC%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000429957500011
- Digital control of PFC rectifier with combined feedforward and PI regulatorVerbytskyi, Ievgen; Blinov, Andrei; Emiliani, Pietro; Galkin, IljaIECON 2022 - 48th Annual Conference of the IEEE Industrial Electronics Society2022 / p. 1-6 https://doi.org/10.1109/IECON49645.2022.9968509 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85143893792&origin=inward&txGid=e82a8d7bce236e0f8ba0f1a9b2f85be6
- Digital control strategy for interleaved quasi-Z-source inverter with with active power decouplingStepenko, Serhii; Husev, Oleksandr; Pires Pimentel, Sergio; Vinnikov, Dmitri; Roncero-Clemente, Carlos; Makovenko, ElenaIECON 2018 - 44th Annual Conference of the IEEE Industrial Electronics Society : proceedings2018 / p. 3725-3730 : ill
- Digital logic simulation with compressed BDDsUbar, Raimund-Johannes; Mironov, Dmitri; Devadze, Sergei; Raik, JaanProceedings : 2011 IEEE International Conference on Computer Science and Automation Engineering : June 10-12, 2011, Shanghai, China2011 / p. 105-109 : ill https://ieeexplore.ieee.org/document/5952643
- A digital multichannel bioimpedance analyser : signal processing task and its solutionAnnus, Paul; Kuusik, Alar; Land, Raul; Märtens, Olev; Ronk, AntsProc. of the IEEE Instrumentation and Measurement Technology Conference : IMTC 2006 : Sorrento, Italy, April 24-27, 20062006 / p. 1405-1409 https://doi.org/10.1109/IMTC.2006.328596
- Digital records keeping to information governance in Estonian local governmentsPappel, Ingrid; Pappel, Ingmar; Saarmann, MonikaInternational Conference on Information Society (i-Society 2012)2012 / p. 199-204 : ill https://ieeexplore.ieee.org/document/6285076
- Digital self-interference cancellation: algorithmic performance analysis and software defined radio based realizationRani, Ritu; Le Moullec, Yannick2020 17th Biennial Baltic electronics conference, Tallinn, Estonia, October 6-8, 2020 : proceedings2020 / 6 p. : ill https://doi.org/10.1109/BEC49624.2020.9277345
- Digital Silver Hub : user dialogue model technical documentButt, Sidra Azmat; Pappel, Ingrid; Draheim, Dirktechrxiv.org2022 / p. 1–59 : ill https://doi.org/10.36227/techrxiv.19875031.v1
- Digital system modeling and synthesis as an introduction to computer systems engineeringTajammul, Muhammad Adeel; Azad, Siavoosh Payandeh; Ellervee, Peeter2015 International Conference on Microelectronic Systems Education : MSE '15 : Pittsburgh, PA, May 20-21, 20152015 / p. 52-55 : ill http://dx.doi.org/10.1109/MSE.2015.7160016
- Digital twin for controlled generation of water-in-oil microdroplets with required sizeGyimah, Nafisat; Scheler, Ott; Rang, Toomas; Pardy, Tamas2022 23rd International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE), 25-27 April 2022, St Julian, Malta : proceedings2022 / p. 85-91 https://doi.org/10.1109/EuroSimE54907.2022.9758876
- Digital twin for propulsion drive of autonomous electric vehicleRassõlkin, Anton; Kuts, Vladimir; Kallaste, Ants; Vaimann, Toomas2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 4 p. : ill https://doi.org/10.1109/RTUCON48111.2019.8982326
- Digital twin of an electrical motor based on empirical performance modelRassõlkin, Anton; Rjabtšikov, Viktor; Vaimann, Toomas; Kallaste, Ants; Kuts, Vladimir; Partyshev, Andriy2020 XI International Conference on Electrical Power Drive Systems (ICEPDS), Saint-Petersburg, Russia, October 4-7, 20202020 / p. 11-14 : ill https://doi.org/10.1109/ICEPDS47235.2020.9249366
- Digital twin of wind generator for modelling various turbine characteristicsRaja, Hadi Ashraf; Autsou, Siarhei; Kudelina, Karolina; Rjabtšikov, Viktor; Vaimann, Toomas; Kallaste, Ants; Pomarnacki, Raimondas; Hyunh, Van Khang2023 International Conference on Electrical Drives and Power Electronics (EDPE)2023 / p. 1-5 https://doi.org/10.1109/EDPE58625.2023.10274050
- Digital Twin service unit for AC motor stator inter-turn short circuit fault detectionRjabtšikov, Viktor; Rassõlkin, Anton; Asad, Bilal; Vaimann, Toomas; Kallaste, Ants; Kuts, Vladimir; Jegorov, Sergei; Stępien, Mariusz; Krawczyk, Mateusz2021 28th International Workshop on Electric Drives : Improving Reliability of Electric Drives (IWED)2021 https://doi.org/10.1109/IWED52055.2021.9376328
- Digital twins for designing energy management systems for microgrids: Implementation example based on TalTech Campulse projectKorõtko, Tarmo; Zahraoui, Younes; Rosin, Argo; Agabus, Hannes2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 6 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227475
- Digital twins in extended reality for control system applicationsJeršov, Stanislav; Tepljakov, Aleksei2020 43rd International Conference on Telecommunications and Signal Processing : TSP 2020, Milan, Italy, July 7-9, 20202020 / art. 162353, p. 274-279 https://doi.org/10.1109/TSP49548.2020.9163557
- Dimensionality reduction for machine learning based IoT botnet detectionBahsi, Hayretdin; Nõmm, Sven15th International Conference on Control, Automation, Robotics and Vision (ICARCV 2018) : Singapore, November 18-21, 20182018 / p. 1857-1862 : ill https://doi.org/10.1109/ICARCV.2018.8581205
- Dimensioning of electricity storage according to small wind turbine power generation and household load patternsRosin, Argo; Palu, Ivo; Rosin, Kai; Auväärt, AivarIECON 2012 : 38th Annual Conference of the IEEE Industrial Electronics Society : Industrial Electronics for Sustainable Development2012 / p. 5173-5178 : ill https://www.researchgate.net/publication/261124704_Dimensioning_of_electricity_storage_according_to_small_wind_turbine_power_generation_and_household_load_patterns
- Direct conductor cooling of outer-rotor machine enabled by additive manufacturingSarap, Martin; Kallaste, Ants; Ghahfarokhi, Payam Shams; Tiismus, Hans; Vaimann, Toomas2023 IEEE International Conference on Electric Machines and Drives (IEMDC)2023 / 4 p https://doi.org/10.1109/IEMDC55163.2023.10238858
- Direct discovery-based cooperative device-to-device communication for emergency scenarios in 6GMasood, Ali; Alam, Muhammad Mahtab; Le Moullec, Yannick2022 Joint European Conference on Networks and Communications & 6G Summit (EuCNC/6G Summit) : proceedings2022 / p. 297-302 : ill https://doi.org/10.1109/EuCNC/6GSummit54941.2022.9815706
- Direct liquid cooling in low-power electrical machines : proof-of-conceptLindh, Pia; Petrov, Ilya; Semken, R. Scott; Vaimann, Toomas; Kallaste, AntsIEEE transactions on energy conversion2016 / p. 1257-1266 : ill https://doi.org/10.1109/TEC.2016.2597059 https://www.scopus.com/sourceid/28824 https://www.scopus.com/record/display.uri?eid=2-s2.0-85003016712&origin=inward&txGid=ca7308db795a29ed88bf5c0028777642 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20ENERGY%20CONVER&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000389331700004
- Discontinuous space vector modulation technique for motor supplyVodovozov, Valery; Egorov, MikhailEUROCON 2011 : International Conference on Computer as a Tool : April 27-29, Lisbon, Portugal2011 / [4 p.] : ill
- "Discount" - the renewable energy production impact on electricity priceUlm, Lauri; Koduvere, Hardi; Palu, Ivo2020 IEEE 61st International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, Nov. 5-7, 2020 : conference proceedings2020 / 6 p https://doi.org/10.1109/RTUCON51174.2020.9316611
- Distance learning in technical education on example of Estonia and PolandVaimann, Toomas; Stepien, Mariusz; Rassõlkin, Anton; Palu, Ivo2020 XI International Conference on Electrical Power Drive Systems (ICEPDS), Saint-Petersburg, Russia, October 4-7, 20202020 / p. 285-288 : ill https://doi.org/10.1109/ICEPDS47235.2020.9249317
- Distorted grid and significant computation feedback delayPimentel, Sergio Pires; Husev, Oleksandr; Vinnikov, Dmitri; Stepenko, Serhii; Kütt, Lauri; Rodriguez, Jose2019 IEEE 15th Brazilian Power Electronics Conference and 5th IEEE Southern Power Electronics Conference (COBEP/SPEC 2019) Santos, Brazil, 1-4 December 20192019 / p. 1481-1486 http://toc.proceedings.com/52923webtoc.pdf
- Distorted load impacts on distribution grid [Electronic resource]Niitsoo, Jaan; Palu, IvoProceedings of the 12th International Scientific Conference Electric Power Engineering 2011, Kouty nad Desnou, Czech Republic, May 17-19, 20112011 / p. 37-40 : ill. [CD-ROM]
- Distractors of computerised creative knowledge workHazak, AaroApplication of Information and Communication Technologies - AICT2019, 23-25 October 2019, Baku : conference proceedings2019 / p. 280-283 : ill https://doi.org/10.1109/AICT47866.2019.8981767
- Distributed adaptive largest eigenvalue detection with SNR weighted observations [Online resource]Ainomäe, Ahti; Trump, Tõnu; Le Moullec, YannickBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p. : ill https://doi.org/10.1109/BEC.2018.8600974
- Distributed adaptive network with SNR weighed communicationUlp, Sander; Trump, Tõnu2015 Third International Conference on Digital Information, Networking, and Wireless Communications (DINWC 2015) : Moscow, Russia, 3-5 February 20152015 / p. 83-87 : ill
- Distributed approach for parallel exact critical path tracing fault simulationIvask, Eero; Devadze, Sergei; Ubar, Raimund-JohannesMIXDES 2010 : 17th International Conference "Mixed Design of Integrated Circuits and Systems" : June 24-26, 2010, Wroclaw, Poland2010 / p. 471-476 : ill
- Distributed average integral control based energy management modelShaukat, Neelofar; Khan, Bilal; Qureshi, Muhammad Bilal; Jawad, Muhammad; Shabbir, Noman; Daniel, Kamran2023 IEEE International Conference on Energy Technologies for Future Grids (ETFG)2023 / 5 p https://doi.org/10.1109/ETFG55873.2023.10407358
- Distributed diffusion LMS based energy detectionAinomäe, Ahti; Trump, Tõnu; Bengtsson, Mats2014 6th International Congress on Ultra Modern Telecommunications and Control Systems (ICUMT)2014 / p. 176-183 : ill
- A Distributed DoS detection scheme for NoC-based MPSoCsChaves Arroyave, Cesar Giovanni; Azad, Siavoosh Payandeh; Hollstein, Thomas; Sepulveda, Johanna2018 IEEE Nordic Circuits and Systems Conference (NORCAS) : NORCHIP and International Symposium of System-on-Chip (SoC) : 30-31 October 2018, Tallinn, Estonia : proceedings in IEEE Xplore2018 / 6 p. : ill https://doi.org/10.1109/NORCHIP.2018.8573524
- Distributed energy laboratory concept focused on power electronics unitsPoliakov, Nikolai; Demidova, Galina; Zolov, PavelInternational Conference on Electromechanical and Power Systems (SIELMEN)2021 / p. 387-392 https://doi.org/10.1109/SIELMEN53755.2021.9600330
- Distributed largest eigenvalue detectionAinomäe, Ahti; Trump, Tõnu; Bengtsson, Mats2017 IEEE International Conference on Acoustics, Speech, and Signal Processing : proceedings : March 5-9, 2017, Hilton New Orleans Riverside, New Orleans, Louisiana, USA2017 / p. 3519-3523 : ill https://doi.org/10.1109/ICASSP.2017.7952811 https://www.scopus.com/sourceid/110544 https://www.scopus.com/record/display.uri?eid=2-s2.0-85023768307&origin=inward&txGid=fd9061c1b19180ac251acc82af1e7b61 https://www.webofscience.com/wos/woscc/full-record/WOS:000414286203137
- Distributed largest eigenvalue-based spectrum sensing using diffusion LMSAinomäe, Ahti; Bengtsson, Mats; Trump, TõnuIEEE transactions on signal and information processing over networks2018 / p. 362-377 : ill https://doi.org/10.1109/TSIPN.2017.2705483 https://www.scopus.com/sourceid/21100854641 https://www.scopus.com/record/display.uri?eid=2-s2.0-85049504421&origin=inward&txGid=d34e52147d9258361373aad5cae5ba0e https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20SIGNAL%20INF%20PR&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000431400000008
- Distributed price-based power management for multibuses DC nanogrids EEMSCarvalho da Silva, Edivan Laercio; Bellinaso, Lucas V.; Cardoso, Rafael; Michels, LeandroIEEE journal of emerging and selected topics in power electronics2022 / p. 5509-5521 https://doi.org/10.1109/JESTPE.2022.3152101 https://www.scopus.com/sourceid/21100338359 https://www.scopus.com/record/display.uri?eid=2-s2.0-85124820616&origin=inward&txGid=e0854ffed369e34982cdaf932536826a https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20J%20EM%20SEL%20TOP%20P&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000864217700050
- Distributed recursive energy detectionAinomäe, Ahti; Trump, Tõnu; Bengtsson, Mats2014 IEEE Wireless Communication and Networking Conference (WCNC)2014 / p. 1242-1247 : ill
- Distribution transformer frequency response analysis : behavior of different statistical indices during inter-disk faultNurmanova, Venera; Sultanbek, Adilet; Bagheri, Mehdi; Ahmadiahangar, Roya2019 IEEE International Conference on Environment and Electrical Engineering and 2019 IEEE Industrial and Commercial Power Systems Europe (EEEIC / I&CPS Europe)2019 / 6 p. : ill https://doi.org/10.1109/EEEIC.2019.8783252
- Disturbance decoupling in nonlinear hybrid systems [Electronic resource]Kaldmäe, Arvo; Kotta, Ülle; Shumsky, Alexey; Zhirabok, Alexey12th IEEE International Conference on Control and Automation : ICCA 2016 : Kathmandu, Nepal, 1-3 June 20162016 / p. 86-91 : ill. [USB] https://doi.org/10.1109/ICCA.2016.7505257
- Disturbance decoupling problem in finite automata : sensor location problemKaldmäe, Arvo; Kotta, Ülle; Shumsky, Alexey; Zhirabok, Alexey2016 IEEE Conference on Control Applications (CCA) : part of 2016 IEEE Multi-Conference on Systems and Control, September 19-22, 2016, Buenos Aires, Argentina2016 / p. 481-486 : ill https://doi.org/10.1109/CCA.2016.7587876
- Diversity issues in adaptive modular systemsLints, Taivo4th Annual IEEE Systems Conference : San Diego, CA, April 5-8, 20102010 / p. 207-210 https://ieeexplore.ieee.org/document/5482473
- Divider implementation based on USP-awadhoot division algorithm For area optimizationPatankar, Udayan Sunil; Koel, Ants; Patankar, Sunil M.; Flores, Miguel E.IEEE 4th Advanced Information Management, Communicates, Electronic and Automation Control Conference (IMCEC)2021 / p. 1675-1682 https://doi.org/10.1109/IMCEC51613.2021.9482132
- Division algorithms - from past to present chance to improve area time and complexity for digital applicationsPatankar, Udayan Sunil; Flores, Miguel E.; Koel, AntsLAEDC 2020 : Latin American Electron Devices Conference, San José, Costa Rica, February 25-28, 20202020 / 4 p https://doi.org/10.1109/LAEDC49063.2020.9073050
- DocOnTap : AI-based disease diagnostic system and recommendation systemAbideen, Zain Ul; Khan, Talha Ali; Ali, Raja Hashim; Ali, Nisar; Baig, Muhammad Muneeb; Ali, Muhammad Sajid17th International Conference on Emerging Technologies (ICET), Swabi, Pakistan, 29-30 November 20222022 / p. 148-153 https://doi.org/10.1109/ICET56601.2022.10004621
- Documenting and analysing business-and operational risksKangilaski, Taivo; Kaugerand, Jaanus2024 Tenth International Conference on eDemocracy & eGovernment (ICEDEG)2024 https://doi.org/10.1109/ICEDEG61611.2024.10702076 https://www.scopus.com/record/display.uri?eid=2-s2.0-85208825481&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FICEDEG61611.2024.10702076%29&sessionSearchId=82ea1e262e947a553c0dfd040e2059dd&relpos=0
- Domain analysis with archetype patterns based Zachman framework for enterprise architecturePiho, Gunnar; Tepandi, Jaak; Roost, MartProceedings the 4th International Symposium on Information Technology 2010. Vol. 3, Knowledge Society and System Development and Application : Kuala Lumpur, Malaysia, June 15-17, 20102010 / p. 1351-1356 https://ieeexplore.ieee.org/document/5561641
- Don't do things that you can't undo : reversibility models for generating safe behavioursKruusmaa, Maarja; Gavšin, Juri; Eppendahl, A.Proceedings of the 2007 IEEE International Conference in Robotics and Automation : ICRA'07 : Rome, Italy, 10-14 April 20072007 / p. 1134-1139 https://ieeexplore.ieee.org/document/4209241
- DOT: new deterministic defect-oriented ATPG toolRaik, Jaan; Ubar, Raimund-Johannes; Sudbrock, Joachim; Kuzmicz, Wieslaw; Pleskacz, Witold A.European Test Symposium : ETS 2005 : 22-25 May 2005, Tallinn, Estonia : proceedings2005 / p. 96-101 : ill
- Double deep Q-Learning approach for tuning microwave cavity filters using locally linear embedding techniqueSekhri, Even; Kapoor, Rajiv; Tamre, Mart2020 International Conference Mechatronic Systems and Materials (MSM)2020 / 6 p. : ill https://doi.org/10.1109/MSM49833.2020.9202393
- Driver distraction detection and evaluation with artificial neural network and fuzzy logic : In-vehicle information system as a driver's secondary activity: case studyAksjonov, Andrei; Nedoma, Pavel; Vodovozov, Valery; Petlenkov, Eduard2018 IEEE 15th International Workshop on Advanced Motion Control (AMC 2018)2018 / p. 523–528 : ill https://www.researchgate.net/publication/323747995_Driver_Distraction_Detection_and_Evaluation_with_Artificial_Neural_Network_and_Fuzzy_Logic_In-vehicle_information_system_as_a_driver%27s_secondary_activity_Case_study
- A DRL strategy for optimal resource allocation along with 3D trajectory dynamics in UAV-MEC networkKhurshid, Tayyaba; Ahmed, Waqas; Rehan, Muhammad; Ahmad, Rizwan; Alam, Muhammad Mahtab; Radwan, AymanIEEE Access2023 / p. 54664 - 54678 https://doi.org/10.1109/ACCESS.2023.3278591 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85161074603&origin=resultslist&sort=plf-f&src=s&sid=3788597bbbb5be03473134e7cefc2b4a&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22A+DRL+Strategy+for+Optimal+Resource+Allocation+Along+With+3D+Trajectory+Dynamics+in+UAV-MEC+Network%22%29&sl=116&sessionSearchId=3788597bbbb5be03473134e7cefc2b4a&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001005641900001
- Droop control implementation in bidirectional step-up/down Partial power converter for battery energy storage applicationsHassanpour, Naser; Chub, Andrii; Blinov, Andrei; Vinnikov, Dmitri2023 IEEE 64th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, October 9-10, 2023 : conference proceedings2023 / 6 p https://doi.org/10.1109/RTUCON60080.2023.10413064
- Droop control with incorporated dead zone for indirect energy management in DC nanogridsRoasto, Indrek; Blinov, Andrei; Vinnikov, Dmitri2024 IEEE 21st International Power Electronics and Motion Control Conference (PEMC)2024 / 6 p https://doi.org/10.1109/PEMC61721.2024.10726394
- A DSP-based EBI, ECG and PPG measurement platformAbdullayev, Anar; Rist, Marek; Märtens, Olev; Metshein, Margus; Larras, Benoit; Frappe, Antoine; Gautier, Antoine; Min, Mart; John, Deepu; Cardiff, Barry; Krivosei, Andrei; Annus, PaulIEEE transactions on instrumentation and measurement2023 / art. 2007808, 8 p https://doi.org/10.1109/TIM.2023.3320771 https://www.scopus.com/sourceid/15361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85173030657&origin=inward&txGid=67c28b9796edb075847c255eda3c5a32 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20INSTRUM%20MEAS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001094454100021
- A DSP-based impedance measurement deviceAbdullayev, Anar; Märtens, Olev; Rist, Marek; Metshein, Margus; Min, Mart; Annus, Paul2022 18th Biennial Baltic Electronics Conference (BEC)2022 / 5 l. https://doi.org/10.1109/BEC56180.2022.9935588
- A DSP-based multichannel EBI measurement deviceAbdullayev, Anar; Rist, Marek; Krivošei, Andrei; Metshein, Margus; Land, Raul; Märtens, Olev2024 IEEE International Instrumentation and Measurement Technology Conference (I2MTC) : proceedings2024 https://doi.org/10.1109/I2MTC60896.2024.10560714
- A Dual-arm robot for collaborative vision-based object classificationZahavi, Ali; Najafi Haeri, Shahriar; Chamara Liyanage, Dhanushka; Tamre, Mart2020 17th Biennial Baltic electronics conference, Tallinn, Estonia, October 6-8, 2020 : proceedings2020 https://doi.org/10.1109/BEC49624.2020.9277067
- Dual-purpose converters for DC or AC grid as energy transition solution : perspectives and challengesHusev, Oleksandr; Vinnikov, Dmitri; Kouro, Samir; Blaabjerg, Frede; Roncero-Clemente, CarlosIEEE industrial electronics magazine2023 https://doi.org/10.1109/MIE.2022.3230219
- Dynamic behaviour of qZS-based bi-directional DC/DC converter in supercapacitor charging mode [Electronic resource]Zakis, Janis; Vinnikov, Dmitri; Husev, Oleksandr; Rankis, IvarsSPEEDAM 2012 : Sorrento (Italy) - June 20-22, 2012 : 21st edition of the International Symposium on Power Electronics, Electrical drives, Automation and Motion2012 / p. 764-768 : ill [CD-ROM]
- Dynamic characteristic evaluation of a 600V reverse blocking IGBT deviceKorkh, Oleksandr; Blinov, AndreiAdvances in Information, Electronic and Electrical Engineering (AIEEE) : proceedings of the 5th IEEE Workshop, november 24-25, 2017, Riga, Latvia2018 / p. 1-5 : ill https://doi.org/10.1109/AIEEE.2017.8270527
- Dynamic control system for electric motor drive testing on the test benchRassõlkin, Anton; Kallaste, Ants; Vaimann, Toomas2015 9th International Conference on Compatibility and Power Electronics (CPE) : proceedings : Faculty of Science and Technology (FCT), Caparica, Lisbon, Portugal, 24-26 June, 20152015 / p. 252-257 : ill http://dx.doi.org/10.1109/CPE.2015.7231082
- Dynamic modeling of networks, microgrids, and renewable sources in the dq0 reference frame : a surveyBaimel, Dmitry; Belikov, Juri; Guerrero, Joseph M.; Levron, YoashIEEE Access2017 / p. 21323-21335 : ill https://doi.org/10.1109/ACCESS.2017.2758523 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85030775821&origin=inward&txGid=491a1b62c3ce1e83778fa3807379fdac https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000413942100059
- Dynamic modeling of the demagnetization in Halbach array permanent magnet machinesKallaste, Ants; Belahcen, Anouar; Vaimann, ToomasCOMPUMAG 2013 : [proceedings]2013 / p. 1-2 : ill
- Dynamic network tariff in practices : key issues and challengesSingh, Praveen Prakash; Wen, Fushuan; Palu, Ivo2021 IEEE 4th International Conference on Computing, Power and Communication Technologies (GUCON)2021 / p. 1-6 https://doi.org/10.1109/GUCON50781.2021.9573767
- Dynamic output feedback linearization based adaptive control of nonlinear MIMO systemsPetlenkov, Eduard; Belikov, Juri; Nõmm, Sven; Wyrwas, MałgorzataThe American Control Conference (ACC2008) : Seattle, Washington, USA, June 11-13, 20082008 / p. 3446-3451 https://ieeexplore.ieee.org/document/4587026
- Dynamic pole placement based control of nonlinear discrete time systems with input delayBelikov, Juri; Petlenkov, EduardControl Applications (CCA) & Intelligent Control (ISIC) : 2009 IEEE : 8-10 July 2009, St.Petersburg2009 / p. 394-399
- Dynamic predictive modeling approach of user behavior in virtual reality based applicationKöse, Ahmet; Tepljakov, Aleksei; Petlenkov, Eduard2019 27th Mediterranean Conference on Control and Automation (MED)2019 / p. 57-62 : ill https://doi.org/10.1109/MED.2019.8798521
- Dynamic radio frame configuration by exploiting uplink control channel for URLLCSharma, Navuday; Alam, Muhammad Mahtab; Le Moullec, Yannick; Malik, Hassan; Bennis, Mehdi; Pärand, Sven2019 IEEE Wireless Communications and Networking Conference Workshop (WCNCW)2019 / 6 p. : ill https://doi.org/10.1109/WCNCW.2019.8902898
- Dynamic reconfiguration for wide output voltage range isolated buck-boost PFC converterVerbytskyi, Ievgen; Nadeem, Mohammad Mahad; Blinov, Andrei; Carvalho da Silva, Edivan Laercio; Chub, Andrii; Vinnikov, Dmitri2023 IEEE 8th Southern Power Electronics Conference and 17th Brazilian Power Electronics Conference (SPEC/COBEP)2023 / 5 p. : ill https://doi.org/10.1109/SPEC56436.2023.10407792
- Dynamic slot allocation using non overlapping backoff algorithm in IEEE 802.15.6 WBANSaboor, Abdul; Ahmad, Rizwan; Ahmed, Waqas; Kiani, Adnan Khalid; Alam, Muhammad Mahtab; Kuusik, Alar; Le Moullec, YannickIEEE sensors journal2020 / p. 10862-10875 : ill https://doi.org/10.1109/JSEN.2020.2993795 https://www.scopus.com/sourceid/15047 https://www.scopus.com/record/display.uri?eid=2-s2.0-85090232961&origin=inward&txGid=1274214fb3441da29d2c0dec3dd3849e https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20SENS%20J&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000575389000056
- Dynamic state space model based analysis of a three-phase induction motor using nonlinear magnetization inductanceAsad, Bilal; Vaimann, Toomas; Rassõlkin, Anton; Belahcen, Anouar2018 19th International Scientific Conference on Electric Power Engineering (EPE 2018) : Brno, Czech Republic, 16 - 18 May 20182018 / p. 260-265 : ill https://doi.org/10.1109/EPE.2018.8396039
- Dynamics of partner networkKangilaski, Taivo; Ševtšenko, EduardProceedings : 2014 IEEE 23rd International Symposium on Industrial Electronics (ISIE) : Grand Cevahir Hotel and Convention Center, Istambul, Turkey, 01-04 June, 20142014 / p. 105-110 : ill
- Dynamics of the Public Satisfaction with Situation Management During COVID-19 Pandemic : Developments from March 2020 to January 2022Rebane, Karoliina; Teichmann, Mare; Rannat, Kalev2022 IEEE International Conference on Cognitive and Computational Aspects of Situation Management (CogSIMA) : proceedings2022 / p. 112-114 https://doi.org/10.1109/CogSIMA54611.2022.9830670
- Early detection of network attacks using deep learningAhmad, Tanwir; Truscan, Dragos; Vain, Jüri; Porres, Ivan2022 IEEE 15th International Conference on Software Testing, Verification andValidation Workshops : ICSTW 2022, 4–13 April 2022, Virtual Event : proceedings2022 / p. 30-39 https://doi.org/10.1109/ICSTW55395.2022.00020 https://arxiv.org/pdf/2201.11628.pdf
- Early RTL analysis for SCA vulnerability in fuzzy extractors of memory-based PUF enabled devicesLai, Xinhui; Jenihhin, Maksim; Selims, GeorgiosarXiv.org2020 / 6 p. : ill https://doi.org/10.48550/arXiv.2008.08409 https://arxiv.org/abs/2008.08409
- ECG classification with event-driven samplingSaeed, Maryam; Märtens, Olev; Larras, Benoit; Frappe, Antoine; John, Deepu; Cardiff, BarryIEEE Access2024 / p. 25188-25199 https://doi.org/10.1109/ACCESS.2024.3364115
- Economic feasibility analysis for a residential building in Tallinn with high electricity consumption. A case study with suitable energy storage for the Estonian climateRikkas, Elina Rebecka2020 17th International Conference on the European Energy Market (EEM)2020 / 5 p https://doi.org/10.1109/EEM49802.2020.9221961
- An economical optimization for the participation of a residential microgrid in flexibility markets providing ancillary servicesAlvi, Anas Abdullah; Gonzalez-Romera, Eva; Romero-Cadaval, Enrique; Vinnikov, Dmitri; Milanes-Montero, Maria Isabel; Barrero-Gonzalez, Fermin2024 IEEE 18th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2024 / 6 p https://doi.org/10.1109/CPE-POWERENG60842.2024.10604394
- Eddy current loss reduction prospects in laser additively manufactured soft magnetic coresTiismus, Hans; Kallaste, Ants; Vaimann, Toomas; Rassõlkin, Anton2022 International Conference on Electrical Machines (ICEM)2022 / p. 1511-1516 https://doi.org/10.1109/ICEM51905.2022.9910679
- Editorial : special issue on impedance-source converter topologies and applicationsVinnikov, Dmitri; Li, Yuan; Abu-Rub, HaithamIEEE Transactions on Power Electronics2016 / p. 7417-7418 https://doi.org/10.1109/TPEL.2016.2577418 https://www.scopus.com/sourceid/26055 https://www.scopus.com/record/display.uri?eid=2-s2.0-84977125964&origin=inward&txGid=3671c9238dc2562b420b5494d261b485 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20POWER%20ELECTR&year=2016 https://www.webofscience.com/wos/woscc/full-record/WOS:000379956000001
- Editorial deciphering convergence : novel insights and future ideas on science, technology, and industry convergenceAppio, Francesco Paolo; Broring, Stefanie; Sick, Nathalie; Lee, Sungjoo; Mora, LucaIEEE Transactions on Engineering Management2023 / p. 1389 - 1401 https://doi.org/10.1109/TEM.2023.3242518 https://www.scopus.com/sourceid/17359 https://www.scopus.com/record/display.uri?eid=2-s2.0-85150215871&origin=resultslist&sort=plf-f&src=s&sid=443787aa9b1ca10ee6304e8733795725&sot=b&sdt=b&s=TITLE%28%22Editorial+Deciphering+Convergence%3A+Novel+Insights+and+Future+Ideas+on+Science%2C+Technology%2C+and+Industry+Convergence%22%29&sl=266&sessionSearchId=443787aa9b1ca10ee6304e8733795725&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20ENG%20MANAGE&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001060487400011
- Educational decision-making about curriculum development, environments and economics of educationLäänemets, Urve; Rüütmann, TiiaProceedings of 2015 IEEE Global Engineering Education Conference (EDUCON) : 18-20 March 2015, Tallinn University of Technology (TUT), Tallinn, Estonia2015 / p. 764-767 : ill http://dx.doi.org/10.1109/EDUCON.2015.7096056
- Educational thesaurus of power electronicsRaud, Zoja; Vodovozov, ValeryEUROCON 2011 : International Conference on Computer as a Tool : April 27-29, Lisbon, Portugal2011 / [4 p.] : ill
- EDUTECH - good practices in cooperation between schools and academia attracting candidates for technical educationRassõlkin, Anton; Vaimann, Toomas; Kudelina, Karolina; Stepien, Mariusz; Berger, Kevin2020 IEEE 61st International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, Nov. 5-7, 2020 : conference proceedings2020 https://doi.org/10.1109/RTUCON51174.2020.9316614
- EEG analyzer prototype based on FPGAJenihhin, Maksim; Gorev, Maksim; Pesonen, Vadim; Mihhailov, Dmitri; Ellervee, Peeter; Hinrikus, Hiie; Bachmann, Maie; Lass, Jaanus7th International Symposium on Image and Signal Processing and Analysis (ISPA 2011) : September 4-6, 2011, Dubrovnik, Croatia : proceedings2011 / p. 101-106 : ill https://ieeexplore.ieee.org/document/6046588
- The effect of build direction on the thermal conductivity of additively manufactured AIS10Mg and silicon-steel samplesSarap, Martin; Kallaste, Ants; Shams Ghahfarokhi, Payam; Tiismus, Hans; Vaimann, Toomas2022 International Conference on Electrical Machines (ICEM)2022 / p. 538-543 https://doi.org/10.1109/ICEM51905.2022.9910944
- Effect of double-slope modulation signals on conducted emissions and efficiency of strongly coupled magnetic resonance WPT systemsStepins, Deniss; Zakis, Janis; Audze, Janis; Husev, Oleksandr; Shevchenko, Viktor; Pakhaliuk, Bohdan2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2019 / 4 p https://doi.org/10.1109/RTUCON48111.2019.8982351
- Effect of droop control curves on the efficiency of dual-active bridge convertersCarvalho da Silva, Edivan Laercio; Blinov, Andrei; Chub, Andrii; Vinnikov, DmitriIECON 2023- 49th Annual Conference of the IEEE Industrial Electronics Society IECON Proceedings (Industrial Electronics Conference)2023 / 6 p https://doi.org/10.1109/IECON51785.2023.10312056 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85179510560&origin=inward&txGid=09b1a68066fee0abfb174379087dfb65
- Effect of electrode shape on medium voltage covered conductor insulation durability under electric stressKiitam, Ivar; Taklaja, Paul; Tuttelberg, Kaur59th Annual International Scientific Conference on Power and Electrical Engineering : November 12, 13, 2018, Riga Technical University (RTUCON) : conference proceedings2018 / 6 p. : ill https://doi.org/10.1109/RTUCON.2018.8659862
- Effect of hybrid modulation on performance of wireless battery charger operating in CC/CV modeStepins, Deniss; Kathari, N.; Zakis, Janis; Husev, Oleksandr; Pakhaliuk, Bohdan; Shevchenko, ViktorIECON 2021 – 47th Annual Conference of the IEEE Industrial Electronics Society2021 / 6 p https://doi.org/10.1109/IECON48115.2021.9589544
- Effect of keysight 3458A jitter on precision of phase difference measurementPokatilov, Andrei; Kübarsepp, Toomas; Vabson, ViktorIEEE transactions on instrumentation and measurement2016 / p. 2595-2600 : ill https://doi.org/10.1109/TIM.2016.2593965 https://www.scopus.com/sourceid/15361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85027415374&origin=inward&txGid=b4cbcc32b5699b5dc06a7d9f20ef7bd7 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20INSTRUM%20MEAS&year=2016 https://www.webofscience.com/wos/woscc/full-record/WOS:000388792000017
- Effect of Magnetic Forces and Magnetostriction on the Stator Vibrations of a Bearingless Synchronous Reluctance MotorMukherjee, Victor; Rasilo, Paavo; Martin, Floran; Belahcen, AnouarIEEE transactions on magnetics2019 / 4 p. : ill https://doi.org/10.1109/TMAG.2019.2894739 https://www.scopus.com/sourceid/17364 https://www.scopus.com/record/display.uri?eid=2-s2.0-85066044215&origin=inward&txGid=4bb510d53fcbcb341dab2371bbc80e2b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20MAGN&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000468266900001
- Effect of mission profile resolution on photovoltaic energy yield prediction in Python and MATLABBakeer, Abualkasim Ahmed Ali; Chub, Andrii; Vinnikov, Dmitri; Blaabjerg, Frede2021 IEEE 15th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2021 / 5 p. : ill https://doi.org/10.1109/CPE-POWERENG50821.2021.9501222
- Effect of negative and positive emotions on EEG spectral asymmetryPäeske, Laura; Bachmann, Maie; Lass, Jaanus; Hinrikus, Hiie2015 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC)2015 / p. 8107 - 8110 https://doi.org/10.1109/EMBC.2015.7320275
- Effect of PM parameters variability on the operation quantities of a wind generatorKallaste, Ants; Belahcen, Anouar; Vaimann, Toomas2015 IEEE Workshop on Electrical Machines Design, Control and Diagnosis (WEMDCD) : proceedings : Castello del Valentino, Torino, Italy, 26-27 March, 20152015 / p. 242-247 : ill http://dx.doi.org/10.1109/WEMDCD.2015.7194536
- Effect of punching the electrical sheets on optimal design of a permanent magnet synchronous motorMartin, Floran; Aydin, Ugur; Sundaria, Ravi; Rasilo, Paavo; Belahcen, Anouar; Arkkio, AnteroIEEE Transactions on Magnetics2018 / art. 8102004 https://doi.org/10.1109/TMAG.2017.2768399 https://www.scopus.com/sourceid/17364 https://www.scopus.com/record/display.uri?eid=2-s2.0-85035773587&origin=resultslist&sort=plf-f&src=s&sid=9b24b7b6b96b099e1e9afdd88e8e3155&sot=b&sdt=b&s=DOI%2810.1109%2FTMAG.2017.2768399%29&sl=32&sessionSearchId=9b24b7b6b96b099e1e9afdd88e8e3155&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20MAGN&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000426003900142
- Effect of rotor pole-shoe construction on losses of inverter-fed synchronous motorsRasilo, Paavo; Belahcen, Anouar; Arkkio, AnteroProceedings : 2012 XXth International Conference on Electrical Machines : Palais des Congrès et des Expositions de Marseille Marseille, France, 02-05 September, 20122012 / p. 1282-1286 : ill https://ieeexplore.ieee.org/document/6350042
- Effect of steam activation on oil shale semi-coke surface propertiesPikkor, Heliis; Konist, Alar; Maaten, Birgit; Järvik, Oliver; Lees, HeidiInternational Multidisciplinary Conference on Computer and Energy Science (SpliTech)2021 / 5 p https://doi.org/10.23919/SpliTech52315.2021.9566397
- Effective scalable IEEE 1687 instrumentation network for fault managementJutman, Artur; Shibin, Konstantin; Devadze, SergeiIEEE design & test2013 / p. 26-35 : ill https://doi.org/10.1109/MDAT.2013.2278535 https://www.scopus.com/sourceid/21100286806 https://www.scopus.com/record/display.uri?eid=2-s2.0-84900025438&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FMDAT.2013.2278535%29&sessionSearchId=47e771afcc769678348f9a5b62e06fdd&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20DES%20TEST&year=2013 https://www.webofscience.com/wos/woscc/full-record/WOS:000328974800004
- Effective tools and models for engineering faculty MasteryTeaching supporting meaningful learningRüütmann, TiiaProceedings of the 2020 IEEE Global Engineering Education Conference (EDUCON) : Engineering Education for the Future in a Multicultural and Smart World, 27-30 April, 2020, Porto, Portugal2020 / 1622-1626 : ill https://doi.org/10.1109/EDUCON45650.2020.9125266
- Effects of annotation quality on model performanceAlhazmi, Khaled; Alsumari, Walaa; Seppo, Indrek; Podkuiko, Lara; Simon, Martin2021 International Conference on Artificial Intelligence in Information and Communication (ICAIIC), 13-16 April 20212021 / p. 063–067 https://doi.org/10.1109/ICAIIC51459.2021.9415271
- Effects of economic shocks on power systems: {COVID-19} as a case studyNavon, Aviad; Orda, Ariel; Levron, Yoash; Belikov, Juri2021 IEEE PES Innovative Smart Grid Technologies Europe (ISGT-Europe), 8-21 October, 2021 : proceedings2021 / 5 p. : ill https://doi.org/10.1109/ISGTEurope52324.2021.9640021
- Effects of PV microgeneration on rural LV network voltage quality [Online resource]Hürmeydan, Semih; Rosin, Argo; Vinnal, Toomas; Jagomägi, Andri2016 57th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) : October 13, 14, 2016 : conference proceedings2016 / [4] p. : ill https://doi.org/10.1109/RTUCON.2016.7763083
- Effects of voltage transients on the DC droop control in residential nanogridsRoasto, Indrek; Blinov, Andrei; Vinnikov, Dmitri; Jalakas, Tanel2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 5 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227464
- Efficiency and loss distribution analysis of the 3L-Active NPC qZS inverterRoncero-Clemente, Carlos; Romero-Cadaval, Enrique; Fernao Pires, Vitor; Husev, Oleksandr2018 IEEE 12th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG 2018) : Doha, Qatar, 10-12 April 20182018 / p. 449-454 : ill https://doi.org/10.1109/CPE.2018.8372560
- Efficiency control for adjustment of number of working pumps in multi-pump systemBakman, Ilja; Gevorkov, Levon; Vodovozov, Valery2015 9th International Conference on Compatibility and Power Electronics (CPE) : proceedings : Faculty of Science and Technology (FCT), Caparica, Lisbon, Portugal, 24-26 June, 20152015 / p. 396-402 : ill http://dx.doi.org/10.1109/CPE.2015.7231108
- Efficiency improvement from topology modification of the single-switch isolated quasi-Z-source DC-DC converter [Online resource]Liivik, Elizaveta; Chub, Andrii; Vinnikov, Dmitri2016 57th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) : October 13, 14, 2016 : conference proceedings2016 / [7] p. : ill https://doi.org/10.1109/RTUCON.2016.7763118
- Efficiency improvement of step-up series resonant DC-DC converter in buck operating modeSidorov, Vadim; Chub, Andrii; Vinnikov, Dmitri2020 IEEE 61st International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, Nov. 5-7, 2020 : conference proceedings2020 / 6 p. : ill https://doi.org/10.1109/RTUCON51174.2020.9316574
- Efficiency map comparison of induction and synchronous reluctance motorsRassõlkin, Anton; Heidari, Hamidreza; Kallaste, Ants; Vaimann, Toomas; Acedo, Jaime Pando; Romero-Cadaval, Enrique2019 26th International Workshop on Electric Drives : Improvement in Efficiency of Electric Drives (IWED) : Moscow Power Engineering Institute, Moscow, Russia, 30th of January – 2nd of February 2019 : proceedings2019 / 4 p. : ill https://doi.org/10.1109/IWED.2019.8664334
- Efficiency study of the single-phase solar qZS-based inverterHusev, Oleksandr; Stepenko, Serhii; Vinnikov, Dmitri; Roncero, Carlos; Santasheva, Elena; Romero-Cadaval, EnriqueIECON 2019 - 45th Annual Conference of the IEEE Industrial Electronics Society : proceedings2019 / p. 4399-4404 https://doi.org/10.1109/IECON.2019.8926655 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85084045851&origin=inward&txGid=ecc4f88110fac98a09cebfa1256f338c https://www.webofscience.com/wos/woscc/full-record/WOS:000522050604065
- An efficient analog convolutional neural network hardware accelerator enabled by a novel memoryless architecture for insect-sized robotsDadras, Iman; Ahmadilivani, Mohammad Hasan; Banerji, Saoni; Raik, Jaan; Abloo, Alvo2022 11th International Conference on Modern Circuits and Systems Technologies (MOCAST) : Bremen, Germany : 08-10 June 20222022 / p. 1-6 https://doi.org/10.1109/MOCAST54814.2022.9837551
- Efficient analog implementations of fractional-order controllersTepljakov, Aleksei; Petlenkov, Eduard; Belikov, JuriProceedings of the 2013 14th International Carpathian Control Conference (ICCC) : Rytro, Hotel Perła Południa, Poland, May 26-29, 20132013 / p. 377-382 : ill
- Efficient event log mining with LogClusterCZhuge, Chen; Vaarandi, RistoThe Third IEEE International Conference on Big Data Security on Cloud, IEEE BigDataSecurity 2017 : The Third IEEE International Conference on High Performance and Smart Computing, IEEE HPSC 2017 : The Second IEEE International Conference on Intelligent Data and Security, IEEE IDS 2017 : proceedings : 26-28 May 2017, Beijing, China2017 / p. 261-266 : tab http://doi.org/10.1109/BigDataSecurity.2017.26
- Efficient fault injection based on dynamic HDL slicing techniqueBagbaba, Ahmet Cagri; Jenihhin, Maksim; Raik, Jaan; Sauer, Christian2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS 2019) : 1-3 July 2019, Greece2019 / p. 52-53 : ill https://doi.org/10.1109/IOLTS.2019.8854419
- An efficient FPGA-based architecture for contractive autoencodersKerner, Madis; Tammemäe, Kalle; Raik, Jaan; Hollstein, Thomas2020 IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 3 – 6 May 2020, Fayetteville, Arkansas : proceedings2020 / p. 230−230 https://doi.org/10.1109/FCCM48280.2020.00062.
- Efficient methodology for ISO26262 functional safety verificationAugusto da Silva, Felipe; Bagbaba, Ahmet Cagri; Hamdioui, Said; Sauer, Christian2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), 1-3 July 2019, Rhodes, Greece2019 / p. 255-256 https://doi.org/10.1109/IOLTS.2019.8854449
- Efficient on-device transfer learning using activation memory reductionYoosefi, Amin; Mousavi, Hamid; Daneshtalab, Masoud; Kargahi, Mehdi2023 Eighth International Conference on Fog and Mobile Edge Computing (FMEC)2023 / p. 210-215 https://doi.org/10.1109/FMEC59375.2023.10306182
- EFIC-ME : a fast emulation based fault injection control and monitoring enhancementAbideen, Zain Ul; Rashid, Muhammad HaroonIEEE Access2020 / p. 207705-207716 https://doi.org/10.1109/ACCESS.2020.3038198 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85097356103&origin=inward&txGid=2f1165cb9a49c99935e3d326b2af989d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000595015400001
- eGovernment services : How to develop them, how to manage them?Kalja, Ahto; Kindel, Kristiina; Kivi, Riina; Robal, TarmoProceedings of PICMET'07 : Management of Converging Technologies : Portland, OR, USA, 5-9. August 20072007 / p. 2795-2798 https://ieeexplore.ieee.org/document/4349620
- ELC-ECG: efficient LSTM cell for ECG classification based on quantized architectureMirsalari, Seyed Ahmad; Nazari, Najmeh; Ansarmohammadi, Seyed Ali; Sinaei, Sima; Salehi, Mostafa E.; Daneshtalab, Masoud2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea May 22-28, 2021 : proceedings2021 / 5 p https://doi.org/10.1109/ISCAS51556.2021.9401261 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85108992062&origin=inward&txGid=1c2c56223e08d1bc212c6fccdc68171b https://www.webofscience.com/wos/woscc/full-record/WOS:000696765400207
- Electric drive for throttle control of centrifugal pump systemGevorkov, Levon; Rassõlkin, Anton; Kallaste, Ants; Vaimann, Toomas59th Annual International Scientific Conference on Power and Electrical Engineering : November 12, 13, 2018, Riga Technical University (RTUCON) : conference proceedings2018 / 5 p. : ill https://doi.org/10.1109/RTUCON.2018.8659845
- Electric field distribution in glass and porcelain pin insulators [Electronic resource]Taklaja, Paul; Kiitam, Ivar; Niitsoo, Jaan; Hyvönen, Petri; Klüss, Joni2015 IEEE 15th International Conference on Environment and Electrical Engineering : conference proceedings : June 10-13, 2015, Rome2015 / p. 267-271 : ill. [CD-ROM] http://dx.doi.org/10.1109/EEEIC.2015.7165550
- Electric vehicle charger load current harmonics variations due to supply voltage level differences - case examplesKütt, Lauri; Saarijärvi, Eero; Lehtonen, Matti; Mõlder, Heigo; Niitsoo, Jaan2014 International Symposium on Power Electronics, Electrical Drives, Automation and Motion (SPEEDAM) : 18-20 June, 2014, Ischia, Italy : proceedings2014 / p. 917-922 : ill
- Electric vehicle fast charger high voltage input multiport converter topology analysis [Electronic resource]Jalakas, Tanel; Roasto, Indrek; Vinnikov, DmitriCPE 2013 : 2013 International Conference on Compatibility and Power Electronics (CPE) : June 5-7, 2013, Ljubljana, Slovenia : conference proceedings2013 / p. 326-331 : ill [CD-ROM]
- Electric vehicle multiport fast charger based on the concept of active power electronic transformerJalakas, Tanel; Roasto, Indrek; Gallardo-Lozano, Javier; Romero-Cadaval, Enrique2014 16th European Conference on Power Electronics and Applications (EPE'14-ECCE Europe) : Lappeenranta, Finland, 26-28 August 2014. Vol. 32014 / p. 2168-2176 : ill
- Electrical and mechanical properties of service-aged medium voltage porcelain support insulatorsKiitam, Ivar; Saarna, Mart; Taklaja, Paul; Tealane, Marko; Palu, Ivo2020 6th IEEE International Energy Conference (ENERGYCon)2020 / p. 702-706 https://doi.org/10.1109/ENERGYCon48941.2020.9236596
- Electrical resistivity of additively manufactured silicon steel for electrical machine fabricationTiismus, Hans; Kallaste, Ants; Vaimann, Toomas; Rassõlkin, Anton; Belahcen, Anouar2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 4 p. : ill https://doi.org/10.1109/PQ.2019.8818252
- Electrode placement strategies for the measurement of radial artery bioimpedance : simulations and experimentsPesti, Ksenija; Metshein, Margus; Annus, Paul; Kõiv, Hip; Min, MartIEEE transactions on instrumentation and measurement2021 / 10 p. : ill https://doi.org/10.1109/TIM.2020.3011784 https://www.scopus.com/sourceid/15361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85098085471&origin=inward&txGid=20476b58670ca68c6b8d08747f188b5b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20INSTRUM%20MEAS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000671730000005
- An electrolytic capacitor-less multiple-output LED driver with a universal input voltageAwad, Khaled; Abdel-Rahim, Omar; Gaafar, Mahmoud A.; Orabi, Mohamed; Chub, Andrii; Blinov, Andrei; Vinnikov, Dmitri2022 IEEE 7th International Energy Conference (ENERGYCON)2022 / 6 l. https://doi.org/10.1109/ENERGYCON53164.2022.9830255
- Embedded blur-free single-image acquisition pipeline for droplet microfluidic imaging flow cytometry (IFC)Afrin, Fariha; Pärnamets, Kaiser; Le Moullec, Yannick; Udal, Andres; Koel, Ants; Pardy, Tamas; Rang, ToomasIEEE Access2024 / p. 92431-92441 https://doi.org/10.1109/ACCESS.2024.3421637
- Embedded ICG-based stroke volume measurement system : comparison of discrete-time and continuous-time architecturesGautier, Antoine; Larras, Benoit; Märtens, Olev; John, Deepu; Frappe, AntoineIEEE International SOC Conference2022 / p. 46-51 https://doi.org/10.1109/SOCC52499.2021.9739386
- Embedded instrumentation toolbox for screening marginal defects and outliers for productionOdintsov, Sergei; Jutman, Artur; Devadze, Sergei; Aleksejev, IgorIEEE AUTOTESTCON 2017 : Schaumburg, USA, Sept 11-14, 2017 : proceedings2017 / p. 336-334 : ill https://doi.org/10.1109/AUTEST.2017.8080516
- Embedded software performance estimations at different compiler optimisation levelsRuberg, Priit; Lass, Keijo; Liiv, Elvar; Ellervee, PeeterAdvances in Information, Electronic and Electrical Engineering (AIEEE) : proceedings of the 5th IEEE Workshop, november 24-25, 2017, Riga, Latvia2017 / p. 1-6 : ill https://doi.org/10.1109/AIEEE.2017.8270530
- Emerging role of industry 5.0 digital twins in demand response electricity market and applicationsOnile, Abiodun Emmanuel; Belikov, Juri; Petlenkov, Eduard; Levron, YoashIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2023) : proceedings2023 / 6 p https://doi.org/10.1109/ISGTEUROPE56780.2023.10407322
- Empirical evaluation of guidelines for prototyping sociotechnical systems in JADE frameworkMahunnah, Msury; Taveter, Kuldar; Wai Shiang, Cheah; Yee Wai, Sim2018 International Symposium on Agents, Multi-Agent Systems and Robotics (ISAMSR 2018) : Putrajaya, Malaysia, 27 August 20182018 / p. 51-56 : ill http://doi.org/10.1109/ISAMSR.2018.8540558
- Empirical evaluation of NB-IoT and CAT-M coverage for underground water systemRoosipuu, Priit; Annus, Ivar; Kuusik, Alar; Kändler, Nils; Alam, Muhammad Mahtab; Müürsepp, IvoIEEE Access2024 / 14 p https://doi.org/10.1109/ACCESS.2024.3478733
- An empirical evaluation of the requirements engineering tool for socio-technical systemsMahunnah, Msury; Taveter, Kuldar; Matulevičius, Raimundas2018 7th Workshop on Empirical Requirements Engineering : EmpiRE 2018 : 21 August 2018, Banff, Alberta, Canada : proceedings2018 / p. 8-19 : ill https://doi.org/10.1109/EmpiRE.2018.00012
- An empirical modeling for the baseline energy consumption of an NB-IoT radio transceiverKhan, Sikandar Muhammad Zulqarnain; Alam, Muhammad Mahtab; Le Moullec, Yannick; Kuusik, Alar; Pärand, Sven; Verikoukis, ChristosIEEE Internet of Things Journal2021 / p. 14756-14772 : ill https://doi.org/10.1109/JIOT.2021.3072769 https://www.scopus.com/sourceid/21100338350 https://www.scopus.com/record/display.uri?eid=2-s2.0-85104253523&origin=inward&txGid=cbef22637b650e44d4e6e4a21d9db717 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20INTERNET%20THINGS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000697822400029
- Employing haptic input-output for cognitive training applicationsJoasoon, Erkki; Tulviste, Jaan; Mellik, Andres2009 IEEE International Conference on Virtual Environments, Human-Computer Interfaces, and Measurements Systems (VECIMS 2009) : Hong-Kong, China, 11.-13.05.2009 : proceedings2009 / p. 12-15 https://ieeexplore.ieee.org/document/5068857
- Enabling cross-layer reliability and functional safety assessment through ML-based compact modelsAlexandrescu, Dan; Balakrishnan, Aneesh; Lange, Thomas; Glorieux, MaximilienProceedings : 2020 26th IEEE International Symposium on On-Line Testing and Robust System Design : IOLTS 2020, Napoli, Italy, July 13-16, 2020 : virtual edition2020 / 6 p. : ill https://doi.org/10.1109/IOLTS50870.2020.9159750
- Enabling secure MPSoC dynamic operation through protected communicationAzad, Siavoosh Payandeh; Niazmand, Behrad; Jervan, Gert; Sepulveda, Johanna2018 25th IEEE International Conference on Electronics Circuits and Systems (ICECS), Bordeaux, France, December 9–12, 20182018 / p. 481-484 : ill https://doi.org/10.1109/ICECS.2018.8617940
- Encoderless rotor position estimation of a switched reluctance drive operated under model predictive controlAnuchin, Alecksey; Shpak, Dmitry; Demidova, Galina2020 IEEE 61st International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, Nov. 5-7, 2020 : conference proceedings2020 https://doi.org/10.1109/RTUCON51174.2020.9316621
- End-to-end link availability assessments in an optical spectrum as a service use-caseKaeval, Kaida2024 International Conference on Optical Network Design and Modeling (ONDM) : proceedings2024 / 6 p https://doi.org/10.23919/ONDM61578.2024.10582649
- End-to-end Timing Model Extraction from TSN-Aware Distributed Vehicle SoftwareHoutan, Bahar; Aybek, Mehmet Onur; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, Saad48th Euromicro Conference on Software Engineering and Advanced Applications : SEAA 2022 : proceedings2022 / p. 366-369 https://doi.org/10.1109/SEAA56994.2022.00064
- End-user electricity consumption modelling for power quality analysis in residential buildingIqbal, Muhammad Naveed; Kütt, Lauri2018 19th International Scientific Conference on Electric Power Engineering (EPE 2018) : Brno, Czech Republic, 16-18 May, 20182018 / 6 p. : ill https://doi.org/10.1109/EPE.2018.8396030
- Energy consumption evaluation of NOMA-based sustainable scheduling in 6G O-RANLatif, Aamir; Alam, Muhammad Mahtab; Elgarhy, Osama Mohamed Mostafa; Le Moullec, Yannick2024 International Wireless Communications and Mobile Computing (IWCMC)2024 / p. 484-489 https://doi.org/10.1109/IWCMC61514.2024.10592553
- An energy detector for spectrum sensing in impulsive noise environmentTrump, Tõnu; Müürsepp, Ivo22nd IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC'11) : Toronto, Canada, 11-14 september 20112011 / p. 467-471 : ill https://doi.org/10.1109/PIMRC.2011.6140004
- Energy efficiency and connectivity maximization for massive machine type communication in multi-cell NOMALatif, Aamir; Elgarhy, Osama Mohamed Mostafa; Le Moullec, Yannick; Alam, Muhammad Mahtab2024 19th Biennial Baltic Electronics Conference (BEC)2024 / 6 p https://doi.org/10.1109/BEC61458.2024.10737950
- Energy efficiency and latency optimization for IoT URLLC and mMTC use casesElgarhy, Osama Mohamed Mostafa; Reggiani, Luca; Alam, Muhammad Mahtab; Zoha, Ahmed; Ahmad, Rizwan; Kuusik, AlarIEEE Access / p. 23132-23148 https://doi.org/10.1109/ACCESS.2024.3364349
- Energy efficiency evaluation of linear transmitters for 5G NR wireless waveformsBiyabani, Sara R.; Khan, Rida; Alam, Muhammad Mahtab; Biyabani, A. Ahmed; McCune, EarlIEEE transactions on green communications and networking2019 / p. 446 - 454 : ill https://doi.org/10.1109/TGCN.2019.2902179 https://www.scopus.com/sourceid/21100906361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85065981977&origin=inward&txGid=d6c232c5282c6c32cc8877e4e20857fc https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20GREEN%20COMMUN&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000722136300016
- Energy efficiency for bisection based power allocation with proportional fairness in relay-assisted LTE-A downlink system [Online resource]Hassan, Hammad; Ahmed, Ifran; Alam, Muhammad MahtabBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p.: ill https://doi.org/10.1109/BEC.2018.8600970
- Energy management for an integrated energy system with data centers considering carbon tradingWang, Yizheng; Li, Zhonghui; Wen, Fushuan; Palu, Ivo; Sun, Yikai; Zhang, Lijun; Gao, Meijin2020 IEEE Power & Energy Society General Meeting (GM 2020)2020 / 5 l https://doi.org/10.1109/PESGM41954.2020.9281980
- Energy management in a centrifugal pumping plantVodovozov, Valery; Raud, Zoja17th IEEE International Conference on Smart Technologies : IEEE EUROCON 2017 : 6-8 July 2017, Ohrid, Macedonia : conference proceedings2017 / p. 347-352 : ill https://doi.org/10.1109/EUROCON.2017.8011133
- Energy management of an isolated microgrid : a practical caseGhasemi-Marzbali, Ali; Ahmadiahangar, Roya; Gouran Orimi, Sina; Shafiei, Mohammad; Häring, Tobias; Rosin, ArgoIECON 2021 – 47th Annual Conference of the IEEE Industrial Electronics Society, 13-16 October 2021, Toronto, ON, Canada2021 / 6 p. : ill https://doi.org/10.1109/IECON48115.2021.9589801 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85119512055&origin=inward&txGid=47133570062e1f9c472362ac1ccc8353 https://www.webofscience.com/wos/woscc/full-record/WOS:000767230604052
- Energy minimization for hybrid BIST in a system-on-chip test environmentUbar, Raimund-Johannes; Shchenova, Tatjana; Jervan, Gert; Peng, ZeboEuropean Test Symposium : ETS 2005 : 22-25 May 2005, Tallinn, Estonia : proceedings2005 / p. 2-7 : ill
- Energy router based energy management system for nearly zero energy buildingsNajafzadeh, Mahdiyyeh; Roasto, Indrek; Jalakas, Tanel2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 6 p. : ill https://doi.org/10.1109/RTUCON48111.2019.8982366
- Energy saving in centrifugal pumps using mutual pressure and flowrate controlSerbin, Aleksandr; Petlenkov, Eduard; Vodovozov, Valery2017 IEEE 58th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) : proceedings : Latvia, Riga, 12-13 October, 20172017 / [5] p. : ill http://dx.doi.org/10.1109/RTUCON.2017.8124805
- Energy storage expansion planning in microgridAhmadiahangar, Roya; Baharvandi, Amir; Rosin, Argo; Häring, Tobias; Azizi, Elnaz; Korõtko, Tarmo; Shabbir, NomanProceedings : 2020 IEEE 14th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : Online - Setúbal, Portugal, 08 - 10 July, 20202020 / p. 433-437 https://doi.org/10.1109/CPE-POWERENG48600.2020.9161502
- Energy yield assessment methodology for photovoltaic microinvertersChub, Andrii; Kosenko, Roman; Korkh, Oleksandr; Vinnikov, Dmitri; Kouro, Samir2019 IEEE 15th Brazilian Power Electronics Conference and 5th IEEE Southern Power Electronics Conference (COBEP/SPEC 2019) Santos, Brazil, 1-4 December 20192019 / p. 1178-1183 : ill http://toc.proceedings.com/52923webtoc.pdf
- Energy-efficient distributed leader selection algorithm for energy-constrained wireless sensor networksUlp, Sander; Le Moullec, Yannick; Alam, Muhammad MahtabIEEE Access2019 / p. 4410-4421 : ill https://doi.org/10.1109/ACCESS.2018.2888551 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85058898990&origin=inward&txGid=2e05218d1eb12a94e169fcd840ac3eb1 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000456478600001
- Energy-efficient operation of linear Delta robots with an artificial intelligence modelVodovozov, Valery; Raud, Zoja; Petlenkov, Eduard; Semjonova, Natalia2024 19th Biennial Baltic Electronics Conference (BEC)2024 / 5 p https://doi.org/10.1109/BEC61458.2024.10737977
- Engineering of an effective automatic dynamic assertion mining platformGhasempouri, Tara; Malburg, Jan; Danese, Alessandro; Pravadelli, Graziano; Fey, Goerschwin; Raik, JaanVLSI-SoC 2019 : 27th IFIP/IEEE International Conference on Very Large Scale Integration : [proceedings]2019 / p. 111-116 : ill https://doi.org/10.1109/VLSI-SoC.2019.8920331
- Enhanced decision mechanism for RAN subslicing in management closed control loopKulmar, Marika; Müürsepp, Ivo; Alam, Muhammad Mahtab2023 Eighth International Conference on Fog and Mobile Edge Computing (FMEC)2023 / p. 175-181 : ill https://doi.org/10.1109/FMEC59375.2023.10306223
- An enhanced NN-based load frequency control design of MGs : a fractional order modeling methodŠkiparev, Vjatšeslav; Nosrati, Komeil; Belikov, Juri; Tepljakov, Aleksei; Petlenkov, Eduard2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 6 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227392
- Enhanced optimization of the wideband excitation signal for a bioimpedance measurementOjarand, Jaan; Rist, Marek; Min, Mart2015 IEEE International Instrumentation and Measurement Technology Conference (I2MTC 2015) : May 11-14, 2015, Pisa, Italy : proceedings2015 / p. 1801-1806 : ill http://dx.doi.org/10.1109/I2MTC.2015.7151554
- Enhanced situational awareness for AUV’s stochastic model by multirate neural controlAstrov, Igor; Pedai, AndrusSysCon 2010 Proceedings : 2010 IEEE International Systems Conference (SysCon 2010), San Diego, California, USA, April 5-8, 20102010 / p. 66-70 : ill https://ieeexplore.ieee.org/document/5482465
- Enhanced switched impedance inverter with tapped inductorNozadian, Mohsen Hasan Babayi; Hassanpour, Naser; Khan, Salman2024 IEEE 18th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2024 / 6 p https://doi.org/10.1109/CPE-POWERENG60842.2024.10604411
- Enhancing bandgap depth in locally resonant metastructures via notch-filtered piezoelectric actuationAlimohammadi, Hossein; Vassiljeva, Kristina; HosseinNia, S. Hassan; Petlenkov, Eduard2024 IEEE International Conference on Industrial Technology (ICIT)2024 https://doi.org/10.1109/ICIT58233.2024.10540976 https://www.scopus.com/sourceid/84128 https://www.scopus.com/record/display.uri?eid=2-s2.0-85195777116&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FICIT58233.2024.10540976%29&sessionSearchId=e480f059ffe0534f81c8c6919542edf7&relpos=0
- Enhancing cerebral palsy gait analysis with 3D computer vision : a dual-camera approachValla, Elli; Kanter, Gert; Nõmm, Sven; Kuusk, Anton Osvald; Maran, Peeter; Seenmaa, Karl Mihkel; Mägi, Killu; Toomela, Aaro2024 10th International Conference on Control, Decision and Information Technologies (CoDIT)2024 / p. 1352-1357 https://doi.org/10.1109/CoDIT62066.2024.10708137 https://www.scopus.com/record/display.uri?eid=2-s2.0-85208252763&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FCoDIT62066.2024.10708137%29&relpos=0
- Enhancing fingerprinting-based 5G positioning accuracy with directionally transmitted synchronization signalsMüürsepp, Ivo; Alam, Muhammad Mahtab2024 19th Biennial Baltic Electronics Conference (BEC)2024 https://doi.org/10.1109/BEC61458.2024.10737987 https://www.scopus.com/sourceid/21100228125 https://www.scopus.com/record/display.uri?eid=2-s2.0-85210570822&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FBEC61458.2024.10737987%29&sessionSearchId=45c919fe8ff54496e2ffa842f2ed2798&relpos=0
- Enhancing IoT botnet attack detection in SOCs with an explainable active learning frameworkKalakoti, Rajesh; Nõmm, Sven; Bahsi, Hayretdin2024 IEEE World AI IoT Congress (AIIoT)2024 / p. 265 - 272 https://doi.org/10.1109/AIIoT61789.2024.10578957 https://www.scopus.com/record/display.uri?eid=2-s2.0-85198830955&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FAIIoT61789.2024.10578957%29&sessionSearchId=45c919fe8ff54496e2ffa842f2ed2798&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001289206000039
- Enhancing privacy risk modeling in practice: a case study of an e-justice systemGakh, Valerii; Bahsi, Hayretdin; Hoffmann, Thomas; Boyarchuk, Artem; Khramov, OleksiiIEEE Access2024 / p. 183851-183874 https://doi.org/10.1109/ACCESS.2024.3509332
- Enhancing requirements engineering in agile methodologies by agent-oriented goal models : two empirical case studiesTenso, Tanel; Norta, Alexander; Rootsi, Hannes; Taveter, Kuldar; Vorontsova, Irina2017 IEEE 25th International Requirements Engineering Conference Workshops : proceedings2017 / p. 268-275 : ill http://doi.org/10.1109/REW.2017.24
- Enhancing situational awareness through multirate control of an autonomous underwater vehicleAstrov, Igor; Pedai, Andrus; Rüstern, EnnuProceedings of the 2009 IEEE International Conference on Mechatronics and Automation : August 9-12, Changchun, China2009 / p. 1184-1189 : ill https://ieeexplore.ieee.org/document/5246459?reload=true&arnumber=5246459
- Enhancing students’ activity in electrical engineering through Web and social networksRaud, Zoja; Vodovozov, Valery; Lehtla, TõnuInternational Conference Educon 2012 : collaborative learning & new pedagogic approaches in engineering education : Marrakech - Morocco, 17-20 April 20122012 / p. 263-269 : ill
- Enriching interactive components with again commandsDosch, W.; Meriste, Merik; Mõtus, LeoProceedings of the 2007 IEEE International Conference on Electro/Information Technology : Chicago, Illinois, May 17-20, 2007. 12007 / p. 200-205
- Ensemble of Tensor Train Decomposition and Quantization Methods for Deep Learning Model CompressionAdemola, Olutosin Ajibola; Petlenkov, Eduard; Leier, Mairo2022 International Joint Conference on Neural Networks (IJCNN)2022 https://doi.org/10.1109/IJCNN55064.2022.9892626 https://www.scopus.com/sourceid/96537 https://www.scopus.com/record/display.uri?eid=2-s2.0-85140779576&origin=inward&txGid=99358af0742f079c1a5fb42285c31068 https://www.webofscience.com/wos/woscc/full-record/WOS:000867070906010
- Ensembles of k-nearest neighbors and dimensionality reductionOkun, Oleg; Priisalu, Helen2008 IEEE International Joint Conference on Neural Networks. Vols 1-82008 / p. 2032-2039 https://ieeexplore.ieee.org/document/4634077
- Ensuring performance measurement integrity in logistics using blockchainKuhi, Kristjan; Kõrbe Kaare, Kati; Koppel, Ott2018 IEEE International Conference on Service Operations and Logistics, and Informatics (SOLI 2018) : Singapore, 31 July - 2 August 20182018 / p. 256-261 : ill https://doi.org/10.1109/SOLI.2018.8476737
- Enterprise architecture management in virtual organizationKangilaski, TaivoIEEE-ICIT 2010 International Conferece on Industrial Technology : Viña del Mar, Chile, 14-17 March, 20102010 / p. 1032-1037 : ill https://ieeexplore.ieee.org/document/5472555
- Entropy-constrained polar quantization : theory and an application to audio codingVafin, Renat; Kleijn, W.B.2002 IEEE International Conference on Acoustics, Speech, and Signal Processing : proceedings : May 13-17, 2002 Renaissance Orlando Resort, Orlando, Florida, USA. Volume II2002 / p. II-1837-II-1840 : ill https://ieeexplore.ieee.org/document/5744982
- Environment for innovative university research training in the field of digital testOyeniran, Adeboye Stephen; Ademilua, Tolulope; Kruus, Margus; Ubar, Raimund-Johannes2021 30th Annual Conference of the European Association for Education in Electrical and Information Engineering (EAEEIE)2021 https://doi.org/10.1109/EAEEIE50507.2021.9531003
- Environmental and life cycle cost analysis of a synchronous reluctance machine [Online resource]Rassõlkin, Anton; Orlova, Svetlana; Vaimann, Toomas; Belahcen, Anouar; Kallaste, Ants2016 57th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) : October 13, 14, 2016 : conference proceedings2016 / [5] p. : ill https://doi.org/10.1109/RTUCON.2016.7763127
- Envisioning the future renewable and resilient energy grids – a power grid revolution enabled by renewables, energy storage, and energy electronicsPeng, Fang Zheng; Liu, Chen-Ching; Li, Yuan; Jain, Akshay Kumar; Vinnikov, DmitriIEEE journal of emerging and selected topics in industrial electronics2024 / p. 8-26 https://doi.org/10.1109/JESTIE.2023.3343291
- Equivalent transformations of structurally synthesized BDDs and applicationsJürimägi, Lembit; Ubar, Raimund-Johannes; Viies, Vladimir2019 8th Mediterranean Conference on Embedded Computing (MECO)2019 / 6 p. : ill https://doi.org/10.1109/MECO.2019.8760283
- Error-free simplification of transparent Mamdani systemsRiid, Andri; Saastamoinen, Kalle; Rüstern, Ennu2008 / p. 2-8 - 2-13 https://ieeexplore.ieee.org/document/4670399
- eSignature in eCourt SystemsAhmed, Rozha Kamal; Lips, Silvia; Draheim, DirkProceedings of the World Conference on Smart Trends in Systems, Security and Sustainability (WS4 2020), London, UK, July 27 – 28, 2020 : Virtual Conference2020 / p. 352-356 https://doi.org/10.1109/WorldS450073.2020.9210309
- Estimating quality of transmission in a live production network using machine learningMüller, Jasper; Fehenberger, Tobias; Patri, Sai Kireet; Kaeval, Kaida; Griesser, Helmut; Tikas, Marko; Elbers, Jörg-Peter2021 Optical Fiber Communications Conference and Exhibition (OFC) : 6-10 June 2021 : San Francisco, CA, USA2021 / paper Tu1G.2, 3 p https://ieeexplore.ieee.org/abstract/document/9489940
- Estimating sparse signals using integrated wideband dictionariesButsenko, Maksim; Swärd, Johan; Jakobsson, AndreasIEEE Transactions on Signal Processing2018 / p. 4170-4181 : ill https://doi.org/10.1109/TSP.2018.2835426 https://www.scopus.com/sourceid/17391 https://www.scopus.com/record/display.uri?eid=2-s2.0-85046995999&origin=inward&txGid=1ff00765bf19b35b7dfac24e25cab214 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20SIGNAL%20PROCES&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000437831600002
- Estimating sparse signals using integrated wide-band dictionariesButsenko, Maksim; Swärd, Johan; Jakobsson, Andreas2017 IEEE International Conference on Acoustics, Speech, and Signal Processing : proceedings : March 5-9, 2017, Hilton New Orleans Riverside, New Orleans, Louisiana, USA2017 / p. 4426-4430 : ill https://doi.org/10.1109/ICASSP.2017.7952993 https://www.scopus.com/sourceid/110544 https://www.scopus.com/record/display.uri?eid=2-s2.0-85023748591&origin=inward&txGid=b37ac7cd62bb9d8cd1f0dd536ae3a37a https://www.webofscience.com/wos/woscc/full-record/WOS:000414286204118
- Estimating the harmonic distortions in a distribution network supplying EV charging load using practical source data - case exampleKütt, Lauri; Saarijärvi, Eero; Lehtonen, Matti; Mõlder, Heigo; Niitsoo, Jaan2014 IEEE Power and Energy Society General Meeting : National Harbor, MD, USA, 27-31 July 20142014 / [5] p. : ill
- Estimating UWB positioning integrity based on ranging residualsTommingas, Mihkel; Ulp, Sander; Alam, Muhammad Mahtab; Müürsepp, Ivo; Laadung, Taavi2023 24th International Conference on Applied Electromagnetics and Communications (ICECOM)2023 / 5 p https://doi.org/10.1109/ICECOM58258.2023.10367954
- Estimation of exponential and ZIP load model of aggregated load with distributed generationLeinakse, Madis; Andreesen, Guido; Tani, Pärtel; Kilter, Jako2021 IEEE 62nd International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2021 / p. 1-6 https://doi.org/10.1109/RTUCON53541.2021.9711702
- Estimation of flow turbulence metrics with a lateral line probe and regressionChen, Ke; Tuhtan, Jeffrey Andrew; Fuentes-Pérez, Juan Francisco; Toming, Gert; Musall, Mark; Strokina, Nataliya; Kämäräinen, Joni-Kristian; Kruusmaa, MaarjaIEEE transactions on instrumentation and measurement2017 / p. 651-660 : ill https://doi.org/10.1109/TIM.2017.2658278 https://www.scopus.com/sourceid/15361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85012975378&origin=inward&txGid=79afd692dd48cb499bd4243df75118f4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20INSTRUM%20MEAS&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000396397900008
- Estimation of peak voltage value and its occurrence timing upon non-sinusoidal supply voltageDaniel, Kamran; Kütt, Lauri; Iqbal, Muhammad Naveed; Shabbir, Noman; Jarkovoi, Marek2024 IEEE 18th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2024 / p. 1-6 https://doi.org/10.1109/CPE-POWERENG60842.2024.10604412
- Estimation of power system inertia from ambient wide area measurementsTuttelberg, Kaur; Kilter, Jako; Wilson, Douglas; Uhlen, Kjetil2019 IEEE Power & Energy Society General Meeting (PESGM)2019 / 1 p https://doi.org/10.1109/PESGM40551.2019.8973822
- Estimation of power system inertia from ambient wide area measurementsTuttelberg, Kaur; Kilter, Jako; Wilson, Douglas; Uhlen, KjetilIEEE transactions on power systems2018 / p. 7249-7257 https://doi.org/10.1109/TPWRS.2018.2843381 https://www.scopus.com/sourceid/28825 https://www.scopus.com/record/display.uri?eid=2-s2.0-85048005714&origin=inward&txGid=913e0d18bc0797fcde2f4df5ad185b1b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20POWER%20SYST&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000447834200130
- Estimation of removed uremic toxin indoxyl sulphate during hemodialysis by using optical data of the spent dialysateHolmar, Jana; Uhlin, Nils Fredrik Arne; Ferenets, Rain; Lauri, Kai; Tanner, Risto; Arund, Jürgen; Luman, Merike; Fridolin, IvoThe 2013 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC) proceedings2013 / p. 6707-6710 : ill https://doi.org/10.1109/EMBC.2013.6611095 https://www.scopus.com/sourceid/34202 https://www.scopus.com/record/display.uri?eid=2-s2.0-84886471261&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FEMBC.2013.6611095%29
- Estonian experience in implementation of incentive type of price regulation [Online resource]Ots, Märt; Kisel, Einari; Hamburg, Arvi; Mere, Tarmo2016 57th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) : October 13, 14, 2016 : conference proceedings2016 / [5] p. : ill https://doi.org/10.1109/RTUCON.2016.7763082
- Ethical challenges of ICT for the silver economyButt, Sidra Azmat; Draheim, Dirk2021 Eighth International Conference on eDemocracy and eGovernment (ICEDEG) : Quito, Ecuador, 28-30 July 20212021 / p. 152-155 : ill https://doi.org/10.1109/ICEDEG52154.2021.9530895
- ETSI SmartBAN architecture: The global vision for smart body area networksHamalainen, Matti; Mucchi, Lorenzo; Girod-Genet, Marc; Paso, Tuomas; Farserotu, John; Tanaka, Hirokazu; Anzai, Daisuke; Pierucci, Laura; Khan, Rida; Alam, Muhammad MahtabIEEE Access2020 / art. 9167215, p. 150611-150625 https://doi.org/10.1109/ACCESS.2020.3016705 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85090277383&origin=inward&txGid=81fe194a269a5099e334101193237e0d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000562101700001
- EU electricity market design issues and solutions for increased RES penetrationCrasta, Cletus J.; Agabus, Hannes; Palu, Ivo2020 17th International Conference on the European Energy Market (EEM 2020)2020 https://doi.org/10.1109/EEM49802.2020.9221997
- EuroTeQ Project : first impressions and estimatesVodovozov, Valery; Lehtla, Madis; Raud, Zoja2022 IEEE 63th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON): conference proceedings2022 / 5 p https://doi.org/10.1109/RTUCON56726.2022.9978799
- EV battery charging converters with wide output DC voltage rangeNadeem, Mohammad Mahad; Blinov, Andrei; Vinnikov, Dmitri2023 IEEE 64th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, October 9-10, 2023 : conference proceedings2023 / 6 p https://doi.org/10.1109/RTUCON60080.2023.10412960
- Evaluating architectural, redundancy, and implementation strategies for radiation hardening of FinFET integrated circuitsPagliarini, Samuel Nascimento; Benites, Luis; Martins, Mayler; Rech, Paolo; Kastensmidt, FernandaIEEE transactions on nuclear science2021 / p. 1045-1053 https://doi.org/10.1109/TNS.2021.3070643 https://www.scopus.com/sourceid/17368 https://www.scopus.com/record/display.uri?eid=2-s2.0-85103797089&origin=inward&txGid=6c92c2fbb6cf4232257945f91e1079ba https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20NUCL%20SCI&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000655537500073
- Evaluating model performance through a user-centric explainable framework for probabilistic load forecasting modelsRobin, Rebecca; Heistrene, Leena; Belikov, Juri; Baimel, Dmitry; Levron, Yoash2024 Third International Conference on Power, Control and Computing Technologies (ICPC2T)2024 / p. 427 - 432 https://doi.org/10.1109/ICPC2T60072.2024.10474692 https://www.scopus.com/record/display.uri?eid=2-s2.0-85190374807&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FICPC2T60072.2024.10474692%29&sessionSearchId=45c919fe8ff54496e2ffa842f2ed2798&relpos=0
- Evaluating responsibility for voltage unbalance emission in three-phase three-wire networksSayenko, Yuri; Kalyuzhniy, Dmitry; Bolgov, Viktor; Baranenko, Tatiana12th International Conference and Exhibition on Electrical Power Quality and Utilisation, Cracow, Poland, 14-15 September 2020 : conference proceedings2020 / 6 p. : ill https://doi.org/10.1109/EPQU50182.2020.9220312
- Evaluating the energy readiness of national building stocks through benchmarkingFerrantelli, Andrea; Belikov, Juri; Petlenkov, Eduard; Thalfeldt, Martin; Kurnitski, JarekIEEE Access2022 / p. 45430-45443 : ill https://doi.org/10.1109/ACCESS.2022.3170716 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85129626695&origin=inward&txGid=82b2c57c2345fb3cc24cd184888ae801 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000790724400001
- Evaluating time series database management systems for insurance companyKoschel, Arne; Astrova, Irina; Alsleben, Stephan-Tobias; Bellok, Jannis; Meyer, Niklas; Meyer, Sebastian2022 13th International Conference on Information, Intelligence, Systems and Applications (IISA) : proceedings2022 / 6 p. : ill https://doi.org/10.1109/IISA56318.2022.9904360
- Evaluation function optimization for the genetic algorithm based tuning of NN-ANARX model structureNõmm, Sven; Vassiljeva, Kristina; Petlenkov, EduardWCCI 2012 : IEEE World Congress on Computational Intelligence : June, 10-15, 2012, Brisbane, Australia2012 / p. 1682-1688 : ill https://ieeexplore.ieee.org/document/6252599
- Evaluation of different high-voltage switch solutions for high-power converters used in rolling stock [Electronic resource]Vinnikov, Dmitri; Laugis, Juhan; Jalakas, TanelISIE08 : 2008 IEEE International Symposium on Industrial Electronics : 30 June - 2 July 2008, Cambridge, United Kingdom2008 / p. 214-219 : ill. [CD-ROM] https://ieeexplore.ieee.org/document/4677124
- Evaluation of dual-active bridge converter for DC energy buildingsCarvalho da Silva, Edivan Laercio; Blinov, Andrei; Sidorova, Aleksandra; Chub, Andrii; Vinnikov, Dmitri2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 6 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227460
- Evaluation of GaN HEMTs for high-voltage stage of isolated DC-DC convertersChub, Andrii; Zdanowski, Mariusz; Blinov, Andrei; Rabkowski, Jacek2016 10th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : proceedings : Opera Nova's Congress Center, Bydgoszcz, Poland, 29. June - 01. July, 20162016 / p. 375-379 : ill https://doi.org/10.1109/CPE.2016.7544217
- Evaluation of high performance aluminum for microwave filtersMartin-Iglesias, P.; Raadik, Taavi; Teberio, F.; Percaz, J.M.; Martin-Iglesias, S.; Pambaguian, L.; Arregui, I.; Laso, M.A.G.2019 IEEE MTT-S International Microwave Symposium (IMS), Boston, Massachusetts, 2-7 June 2019 : proceedings2019 / p. 1183-1186 https://doi.org/10.1109/MWSYM.2019.8700938 https://www.scopus.com/sourceid/17280 https://www.scopus.com/record/display.uri?eid=2-s2.0-85069951284&origin=inward&txGid=23f9cc311031a272fa7c3ac737dd2244 https://www.webofscience.com/wos/woscc/full-record/WOS:000494461700306
- Evaluation of investments profitability in conventional power generation sector in EstoniaPulkkinen, Svetlana2015 12th International Conference on the European Energy Market (EEM) : Lisbon, 19-22 May 2015, Portugal2015 / [5] p. : ill http://dx.doi.org/10.1109/EEM.2015.7216768
- Evaluation of level-crossing ADCs for event-driven ECG classificationSaeed, Maryam; Wang, Qingyuan; Märtens, OlevIEEE Transactions on Biomedical Circuits and Systems2021 / p. 1129-1139 https://doi.org/10.1109/TBCAS.2021.3136206 https://www.scopus.com/sourceid/5700191219 https://www.scopus.com/record/display.uri?eid=2-s2.0-85121812651&origin=inward&txGid=769428c54979203e5da49da4e5204b4b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20BIOMED%20CIRC%20S&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000757856200006
- Evaluation of losses in three-level neutral-point-clamped and T-type quasi-Z-source inverters with modified carrier based modulation methodRuiz-Cortes, M.; Romero-Cadaval, Enrique; Roncero-Clemente, Carlos; Gonzalez-Romera, Eva; Husev, Oleksandr2017 11th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG 2017) : Cadiz, Spain, 4-6 April 20172017 / p. 638-643 : ill https://doi.org/10.1109/CPE.2017.7915247
- Evaluation of low- and high-voltage GaN transistors in soft-switching DC-DC converterBlinov, Andrei; Kosenko, Roman; Chub, Andrii2017 IEEE First Ukraine Conference on Electrical and Computer Engineering (UKRCON) : May 29 - June 2, 2017, Kyiv, Ukraine : conference proceedings2017 / p. 544-547 : ill https://doi.org/10.1109/UKRCON.2017.8100299
- Evaluation of low power wide area network technologies for smart urban drainage systemsMalik, Hassan; Kändler, Nils; Alam, Muhammad Mahtab; Annus, Ivar; Le Moullec, Yannick; Kuusik, Alar2018 IEEE : International Conference on Environmental Engineering, March 12-14, 2018 Milan Italy : conference proceedings2018 / 5 p. : ill https://doi.org/10.1109/EE1.2018.8385262
- Evaluation of two-electrode system configurations for forearm arteries bioimpedance measurementMetshein, Margus; Pesti, Ksenija; Lapsa, Didzis; Annus, Paul; Janeliukstis, Rims; Elsts, A.; Märtens, Olev2024 IEEE International Instrumentation and Measurement Technology Conference (I2MTC) : proceedings2024 / 6 p https://doi.org/10.1109/I2MTC60896.2024.10561178
- Event log analysis with the LogCluster toolVaarandi, Risto; Kont, Markus; Pihelgas, Mauno2016 IEEE Military Communications Conference : MILCOM 2016 : Baltimore, MD, USA, November 1-3, 20162016 / p. 982-987 https://doi.org/10.1109/MILCOM.2016.7795458
- Event-driven ECG classification using an open-source, LC-ADC based non-uniformly sampled datasetSaeed, Maryam; Wang, Qingyuan; Märtens, Olev; Larras, Benoit; Frappe, Antoine; Cardiff, Barry; John, Deepu2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea May 22-28, 2021 : proceedings2021 / 5 p https://doi.org/10.1109/ISCAS51556.2021.9401333 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85109038232&origin=inward&txGid=99d718ec50a3dfe97573c60b0437997d https://www.webofscience.com/wos/woscc/full-record/WOS:000696765400277
- Event-driven ECG classification using functional approximation and Chebyshev polynomialsSaeed, Maryam; Märtens, Olev; Larras, Benoit; Frappe, Antoine; John, Deepu; Cardiff, Barry2022 IEEE Biomedical Circuits and Systems Conference (BioCAS), 13-15 Oct. 20222022 / p. 595-599 https://doi.org/10.1109/BioCAS54905.2022.9948612
- Evidential decision theory via partial Markov categoriesDi Lavore, Elena; Roman Garcia, Mario2023 38th Annual ACM/IEEE Symposium on Logic in Computer Science (LICS) : Boston, MA, USA : 26-29 June 20232023 / 14 p. : ill https://doi.org/10.1109/LICS56636.2023.10175776 https://www.scopus.com/sourceid/25562 https://www.scopus.com/record/display.uri?eid=2-s2.0-85165998581&origin=inward&txGid=b5207f0830a476756850b676366a5d94 https://www.webofscience.com/wos/woscc/full-record/WOS:001036707700045
- EV-Permanent magnet synchronous motor control strategy evaluation based on digital twin conceptMohamed, Mahmoud Ibrahim Hassanin; Rjabtšikov, Viktor2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 5 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227410
- EV-powertrain test bench for digital twin developmentRjabtšikov, Viktor; Mohamed, Mahmoud Ibrahim Hassanin; Rassõlkin, Anton; Vaimann, Toomas; Kallaste, Ants2022 IEEE 20th International Power Electronics and Motion Control Conference (PEMC) : Brasov, Romania, 25-28 Sept. 2022 : proceedings2022 / p. 559-563 : ill https://doi.org/10.1109/PEMC51159.2022.9962879
- An EV-traction inverter data-driven modelling for digital twin developmentIbrahim, Akram Abdalla Mohammed; Raja, Hadi Ashraf; Rassõlkin, Anton; Vaimann, Toomas; Kallaste, Ants2023 23rd International Scientific Conference on Electric Power Engineering (EPE)2023 / 5 p. : ill https://doi.org/10.1109/EPE58302.2023.10149230
- Examining the performance of the sentinel-3 coastal altimetry in the Baltic Sea using a regional high-resolution Geoid modelBirgiel, Elzbieta; Ellmann, Artu; Delpeche-Ellmann, Nicole Camille2018 Baltic Geodetic Congress : BGC Geomatics, Olsztyn, Poland, 21-23 June, 2018 : proceedings2018 / p. 196–201 : ill https://doi.org/10.1109/BGC-Geomatics.2018.00043
- Exchanging situational information in embedded networksPreden, Jürgo-Sören; Pahtma, RaidoProceedings of International Conference on Adaptive Science & Technology : ICAST 2009 : Accra, Ghana, 14-16 December 20092009 / ? p https://ieeexplore.ieee.org/document/5409713
- An exhaustive multi-aspect analysis of swarm intelligence algorithms in numerical association rule miningKaushik, Minakshi; Sharma, Rahul; Koiva, Pilleriin; Fister, Iztok; Draheim, DirkIEEE Access2024 / p. 138985 - 139002 https://doi.org/10.1109/ACCESS.2024.3417334 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85196710194&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FACCESS.2024.3417334%29&sessionSearchId=122615d9f955ef6b8e3916961131e6f0&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001327298800001
- Existence of the Yule-Simpson effect : an experiment with continuous dataSharma, Rahul; Kaushik, Minakshi; Arakkal Peious, Sijo; Shahin, Mahtab; Vidyarthi, Ankit; Draheim, DirkProceedings of the Confluence 2022 : 12th International Conference on Cloud Computing, Data Science & Engineering, 27-28 January 2022 : Virtual2022 / p. 351-355 https://doi.org/10.1109/Confluence52989.2022.9734211
- Experience in increase of practical hours for HDL courseReinsalu, Uljana; Ellervee, Peeter2011 International Conference on Microelectronic Systems Education (MSE '11), 5-6 June 2011, San Diego, California2011 / p. 102-105 https://ieeexplore.ieee.org/document/5937104
- Experiences with dynamic PMU compliance testing using standard relay testing equipment [Electronic resource]Kilter, Jako; Palu, Ivo; Almas, Muhammad Shoaib; Vanfretti, Luigi2015 IEEE Power and Energy Society Innovative Smart Grid Technologies Conference (ISGT 2015) : 18-20 February 2015, Washington, DC, USA2015 / [5] p. : ill. [USB] http://dx.doi.org/10.1109/ISGT.2015.7131833
- Experimental Characterization of Connectivity for ProSe Direct Discovery in Emergency Scenarios for 6GMasood, Ali; Alam, Muhammad Mahtab; Moullec, Yannick Le2023 IEEE Wireless Communications and Networking Conference (WCNC)2023 / p. 1-6 https://doi.org/10.1109/WCNC55385.2023.10118649
- Experimental characterization of ProSe direct discovery for emergency scenariosMasood, Ali; Le Moullec, Yannick; Alam, Muhammad Mahtab2021 IEEE 7th World Forum on Internet of Things (WF-IoT), 14 June 2021 - 31 July 2021, New Orleans, LA, USA : proceedings2021 / p. 891−896 https://doi.org/10.1109/WF-IoT51360.2021.9595305
- Experimental demonstration of ML-based DWDM system margin estimationMüller, Jasper; Slyne, Frank; Kaeval, Kaida; Troia, Sebastian; Fehenberger, Tobias; Elbers, Jörg-Peter; Kilper, Daniel C.; Ruffini, Marco; Mas-Machuca, CarmenOptical Fiber Communications Conference and Exhibition, OFC 2023 : Proceedings2023 / paper Tu2F.2., 3 p. : ill https://opg.optica.org/abstract.cfm?URI=OFC-2023-Tu2F.2
- Experimental determination of equivalent circuit parameters for a synchronous generatorNaseer, Muhammad Usman; Asad, Bilal; Ghahfarokhi, Payam Shams; Kallaste, Ants; Vaimann, Toomas; Rassõlkin, Anton2021 IEEE Open Conference of Electrical, Electronic and Information Sciences (eStream): proceedings of the conference, April 22, 2021, Vilnius, Lithuania2021 / 7 p. : ill https://doi.org/10.1109/eStream53087.2021.9431442
- Experimental efficiency and thermal parameters evaluation in Full-SiC Quasi-Z-Source inverterPimentel, Sergio Pires; Husev, Oleksandr; Vinnikov, Dmitri; Pires Pimentel, Sergio; Prystupa, Anatoliy2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 6 p. : ill https://doi.org/10.1109/RTUCON48111.2019.8982288
- Experimental Investigation of high frequency 3L-NPC qZS inverter for photovoltaic applicationHusev, Oleksandr; Stepenko, Serhii; Roncero-Clemente, Carlos; Romero-Cadaval, Enrique; Strzelecki, RyszardProceedings : IECON 2013 - 39th Annual Conference of the IEEE Industrial Electronics Society : Austria Center Vienna, Vienna, Austria, 10-14 November, 20132013 / p. 5969-5974 : ill https://doi.org/10.1109/IECON.2013.6700114 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-84893617780&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FIECON.2013.6700114%29
- Experimental investigation of high viscosity on oil spray cooling system with hairpin windingGhahfarokhi, Payam Shams; Kallaste, Ants; Podgornovs, Andrejs; Cardoso, Antonio J. Marques; Vaimann, Toomas; Sarap, Martin; Rjabtšikov, Viktor2023 IEEE 14th International Symposium on Diagnostics for Electrical Machines, Power Electronics and Drives (SDEMPED)2023 / p. 234-238 https://doi.org/10.1109/SDEMPED54949.2023.10271484
- Experimental performance validation of Z-source DC circuit breaker for high impedance faultsPogulaguntla, Aditya; Naik Banavath, Satish; Chub, Andrii; Vinnikov, Dmitri; Singh, Rajendra2024 IEEE Sixth International Conference on DC Microgrids (ICDCM)2024 / 5 p https://doi.org/10.1109/ICDCM60322.2024.10664702
- Experimental prototype of high-efficiency wind turbine based on Magnus effectLukin, Aleksandr; Demidova, Galina; Lukichev, Dmitry; Rassõlkin, Anton; Kallaste, Ants; Vaimann, Toomas; Belahcen, Anouar2020 27th International Workshop on Electric Drives: MPEI Department of Electric Drives 90th Anniversary (IWED), Moscow, Russia, January 27-30, 20202020 / 6 p https://doi.org/10.1109/IWED48848.2020.9069565
- Experimental setup to explore the propulsion motor drive testing for battery electric vehiclesRassõlkin, Anton; Müür, MargusProceedings of 2015 IEEE Global Engineering Education Conference (EDUCON) : 18-20 March 2015, Tallinn University of Technology (TUT), Tallinn, Estonia2015 / p. 63-67 : ill http://dx.doi.org/10.1109/EDUCON.2015.7095952
- Experimental study of high step-up quasi-Z-source DC-DC converter with synchronous rectificationLiivik, Liisa; Chub, Andrii; Vinnikov, Dmitri; Zakis, Janis2015 9th International Conference on Compatibility and Power Electronics (CPE) : proceedings : Faculty of Science and Technology (FCT), Caparica, Lisbon, Portugal, 24-26 June, 20152015 / p. 409-414 : ill http://dx.doi.org/10.1109/CPE.2015.7231110
- Experimental study of new integrated DC/DC converter for hydrogen-based energy storageVinnikov, Dmitri; Andrijanovitš, Anna; Roasto, Indrek; Jalakas, Tanel2011 10th International Conference on Environment and Electrical Engineering (EEEIC), 8-11 May 2011, Rome, Italy : conference proceedings2011 / [4 p.] : ill https://ieeexplore.ieee.org/document/5874667
- Experimental study of shoot-through control methods for qZSI-based DC/DC converters [Electronic resource]Roasto, Indrek; Vinnikov, Dmitri; Jalakas, Tanel; Zakis, Janis; Ott, SilverSPEEDAM 2010 : International Symposium on Power Electronics, Electrical Drives, Automation and Motion : Pisa, Italy, 14th-16th June, 2010 : proceedings2010 / p. 29-34 : [CD-ROM] https://ieeexplore.ieee.org/document/5542046
- Experimental validation and field trials of 5G cross-border connectivityElgarhy, Osama Mohamed Mostafa; Kulmar, Marika; Roosipuu, Priit; Rohtla, Margus; Le Moullec, Yannick; Kõrbe Kaare, Kati; Sadam, Arvi; Scholliers, Johan; Alam, Muhammad MahtabProceedings of the IEEE Future Networks World Forum 2024 (IEEE FNWF2024)2024
- Experimental verification of a two-stage bidirectional DC/DC converter with separated commutation and asymmetrical structure of current-source stageIvakhno, Volodymyr; Zamaruiev, Volodymyr; Styslo, Bogdan; Blinov, Andrei2019 IEEE 2nd Ukraine Conference on Electrical and Computer Engineering : UKRCON-2019 : conference proceedings2019 / p. 369-374 : ill https://doi.org/10.1109/UKRCON.2019.8879821
- Experimental verification of DC/DC converter with full-bridge active rectifierBlinov, Andrei; Ivakhno, Volodymyr; Zamaruev, Vladimir; Vinnikov, Dmitri; Husev, OleksandrIECON 2012 : 38th Annual Conference of the IEEE Industrial Electronics Society : Industrial Electronics for Sustainable Development2012 / p. 5179-5184 : ill https://ieeexplore.ieee.org/document/6389549
- Experimental verification of light electric vehicle charger multiport topologyJalakas, Tanel; Zakis, Janis2015 9th International Conference on Compatibility and Power Electronics (CPE) : proceedings : Faculty of Science and Technology (FCT), Caparica, Lisbon, Portugal, 24-26 June, 20152015 / p. 415-418 : ill http://dx.doi.org/10.1109/CPE.2015.7231111
- Experimental verification of two-stage power converter with current-fed soft-switching front-end for battery storage applicationsKosenko, Roman; Blinov, Andrei; Korkh, Oleksandr2018 20th European Conference on Power Electronics and Applications (EPE'18 ECCE Europe) : Riga, Latvia, 17-21 September 20182018 / p. 1599-1608 : ill https://ieeexplore.ieee.org/document/8515580
- Experimentally adjusted modelling and simulation technique for a catamaran autonomous surface vesselAstrov, Igor; Udal, Andres; Mõlder, Heigo; Jalakas, Tanel; Möller, TaaviInternational Conference on Electrical, Computer, and Energy Technologies, ICECET 2022, Prague, Czech Republic, July 20-22, 20222022 / 7 p. : ill https://doi.org/10.1109/ICECET55527.2022.9873069
- Expert knowledge elicitation for skill level categorization of attack pathsMezešova, Terezia; Bahsi, Hayretdin2019 International Conference on Cyber Security and Protection of Digital Services (Cyber Security)2019 / 8 p. : tab https://doi.org/10.1109/CyberSecPODS.2019.8885192
- Expert knowledge elicitation for skill level categorization of attack pathsMezešova, Terezia; Bahsi, HayretdinCyber science 2018 : conference programme2018 / p. 29 https://www.c-mric.com/wp-content/uploads/2018/04/20180611-Cyber-Science-2018-Event-Brochure-DRAFT-v0.6.pdf
- Explainable federated learning for Botnet Detection in IoT networksKalakoti, Rajesh; Bahsi, Hayretdin; Nõmm, SvenProceedings of the 2024 IEEE International Conference on Cyber Security and Resilience (CSR), September 2-4, 2024, London, UK2024 / p. 22-29 https://doi.org/10.1109/CSR61664.2024.10679348 https://www.scopus.com/record/display.uri?eid=2-s2.0-85206145920&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FCSR61664.2024.10679348%29&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001327167900004
- Exploiting data transfer locality in memory mappingEllervee, Peeter; Miranda, Miguel; Catthoor, Francky; Hemani, Ahmed25th EUROMICRO conference : Informatics : Theory and Practice for the New Millennium : Milan, Italy, September 8-10, 1999 : proceedings. Volume I1999 / p. 14-21 : ill https://ieeexplore.ieee.org/document/793132
- Exploiting high-level descriptions for circuits fault tolerance assessmentsBenso, A.; Prinetto, Paolo; Rebaudengo, M.; Sonza Reorda, Matteo; Raik, Jaan; Ubar, Raimund-Johannes1997 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Paris, October 20-22, 19971997 / p. 212-216 https://ieeexplore.ieee.org/document/628327
- Exploiting time and frequency masking in consistent sinusoidal analysis-synthesisVafin, Renat; Vang Andersen, Soren; Kleijn, W. Bastiaan2000 IEEE International Conference on Acoustics, Speech, and Signal Processing : proceedings : 5-9 June, 2000, Hilton Hotel and Convention Center, Istanbul, Turkey. Vol. 22000 / p. 901-904 : ill
- Exploratory data analysis for demand-side flexibility quantificationShahid, Arqum; Ahmadiahangar, Roya; Rosin, Argo; Maask, Vahur; Martins, João F.2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 6 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227408
- Exploring deep learning based object detection architecturSaddique, Muhammad Saddique; Raza, Ahsan; Abideen, Zain Ul; Khan, Shah Nawaz2020 17th International Bhurban Conference on Applied Sciences and Technology (IBCAST), Islamabad, Pakistan, 20202020 / p. 255-259 https://doi.org/10.1109/IBCAST47879.2020.9044558
- Exploring sea surface heights by using airborne laser scanningJulge, Kalev; Gruno, Anti; Ellmann, Artu; Liibusk, Aive; Oja, Tõnis2014 IEEE/OES Baltic International Symposium : 26-29 May 2014, Tallinn, Estonia : [proceedings]2014 / [7] p. : ill
- Exploring service margins for optical spectrum servicesKaeval, Kaida; Slyne, Frank; Troia, Sebastian; Kenny, Eoin; Pedreno-Manresa, Jose-Juan; Patri, Sai Kireet; Grobe, Klaus; Kilper, Daniel C.; Ruffini, Marco; Jervan, GertProceedings European Conference on Optical Communication (ECOC 2022), 18-22 September 2022, Basel, Switzerland2022 / art. Mo3B.4, 4 p https://opg.optica.org/abstract.cfm?uri=ECEOC-2022-Mo3B.4
- Exploring the real-world challenges and efficacy of internal coupling in metastructures : an experimental perspectiveAlimohammadi, Hossein; Vassiljeva, Kristina; Hosseinnia, S. Hassan; Ellervee, Peeter; Petlenkov, Eduard2024 International Conference on Electrical, Computer and Energy Technologies (ICECET)2024 https://doi.org/10.1109/ICECET61485.2024.10698725 https://www.scopus.com/record/display.uri?eid=2-s2.0-85207433092&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FICECET61485.2024.10698725%29&sessionSearchId=9a261a124a4e95257082f88f23bb8eeb&relpos=0
- Expression microarray data classification using counting grids and fisher kernelPerina, Alessandro; Kesa, Maria; Bicego, Manuele22nd International Conference on Pattern Recognition, ICPR 2014, 24–28 August 2014 Stockholm, Sweden : Proceedings2014 / art. 6977022, 6 p.: ill https://doi.org/10.1109/ICPR.2014.311 https://www.scopus.com/sourceid/24282 https://www.scopus.com/record/display.uri?eid=2-s2.0-84919946670&origin=inward&txGid=047c74cf88c8efc64f8f8174d91c4e41 https://www.webofscience.com/wos/woscc/full-record/WOS:000359818001150
- An Extended flatness-based controller for permanent magnet synchronous machines incorporating an event-based mechanismBelikov, Juri; Kaldmäe, Arvo; Levron, Yoash2019 27th Mediterranean Conference on Control and Automation (MED)2019 / p. 416-421 : ill https://doi.org/10.1109/MED.2019.8798551
- Extended observer form for nonlinear system with disturbances : Algorithm and applicationKaparin, Vadim; Simha, Ashutosh; Chowdhury, Nilanjan Roy; Kotta, Ülle; Levron, Yoash; Belikov, Juri2024 18th International Conference on Control, Automation, Robotics and Vision (ICARCV)2024 https://doi.org/10.1109/ICARCV63323.2024.10821609
- Extended observer forms for submersive discrete-time systemsSimha, Ashutosh; Kaparin, Vadim; Mullari, Tanel; Kotta, ÜlleIEEE Transactions on Automatic Control2023 / p. 2684 - 2688 https://doi.org/10.1109/TAC.2023.3336253 https://www.scopus.com/sourceid/17339 https://www.scopus.com/record/display.uri?eid=2-s2.0-85178001904&origin=resultslist&sort=plf-f&src=s&sid=48e2b7e0307cf7fdcb956e8ad58766ae&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Extended+Observer+Forms+for+Submersive+Discrete-Time+Systems%22%29&sl=77&sessionSearchId=48e2b7e0307cf7fdcb956e8ad58766ae&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20AUTOMAT%20CONTR&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001194518600008
- Extended ZVS-On/ZCS-Off range for CF-DAB converter under DCM operation for residential energy storage systemsCarvalho da Silva, Edivan Laercio; Cardoso, Rafael; Felipe, Carla Aparecida; Stein, Carlos Marcelo De Oliveira; Bellinaso, Lucas Vizzotto; Michels, Leandro; Vinnikov, DmitriIEEE Access2023 / p. 119231-119243 https://doi.org/10.1109/ACCESS.2023.3327219 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85176357275&origin=inward&txGid=25fbe9de60a3047cdc8581fe36f523b6 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001100863600001
- Extended triple store used in recommender systemLuberg, Ago; Tammet, Tanel; Järv, Priit22nd International Workshop on Database and Expert Systems Applications (DEXA) : Toulouse, France, 29 August - 2 September 20112011 / p. 539-543 https://ieeexplore.ieee.org/document/6059873
- Extensible open-source framework for translating RTL VHDL IP cores to SystemCSaif Abrar, Syed; Jenihhin, Maksim; Raik, JaanProceedings of the 2013 IEEE 16th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) : April 8-10, 2013, Karlovy Vary, Czech Republic2013 / p. 112-115
- FaCT-LSTM : fast and compact ternary architecture for LSTM recurrent neural networksMirsalari, Seyed Ahmad; Nazari, Najmeh; Sinaei, Sima; Salehi, Mostafa E.; Daneshtalab, MasoudIEEE design & test2022 / p. 45-53 https://doi.org/10.1109/MDAT.2021.3070245
- Fair and individualized project teamwork evaluation for an engineering courseRobal, TarmoEAEEIE 2018 : 28th EAEEIE Annual Conference (EAEEIE), September 26th to 28th, 20182018 / 9 p. : ill http://doi.org/10.1109/EAEEIE.2018.8534256
- Fall detection and activity recognition system for usage in smart work-wear [Online resource]Leier, Mairo; Jervan, Gert; Allik, Ardo; Pilt, Kristjan; Karai, Deniss; Fridolin, IvoBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p.: ill https://doi.org/10.1109/BEC.2018.8600959
- A family of bidirectional solid-state circuit breakers with increased safety in DC microgridsRahimpour, Saeed; Husev, Oleksandr; Vinnikov, DmitriIEEE transactions on industrial electronics2023 / p. 10919-10929 https://doi.org/10.1109/TIE.2023.3337493
- Fast and fair computation offloading management in a swarm of drones using a rating-based federated learning approachRahbari, Dadmehr; Alam, Muhammad Mahtab; Le Moullec, Yannick; Jenihhin, MaksimIEEE Access2021 / p. 113832-113849 https://doi.org/10.1109/ACCESS.2021.3104117 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85113331747&origin=inward&txGid=1caa0e2defc20ef71ae31fa56a81435f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000686754900001
- A fast current harmonic detection and mitigation strategy for shunt active filterFirouzjah, Khalil Gorgani; Ahmadiahangar, Roya; Rosin, Argo; Häring, Tobias2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 5 p. : ill https://doi.org/10.1109/PQ.2019.8818253
- Fast fault simulation for extended class of faults in scan-path circuitsUbar, Raimund-Johannes; Devadze, Sergei; Raik, Jaan; Jutman, ArturProceedings : Fifth IEEE International Symposium on Electronic Design, Test and Applications : DELTA 2010 : 13-15 January 2010, Ho Chi Minh City, Vietnam2010 / p. 14-19 https://ieeexplore.ieee.org/document/5438717
- Fast path-oriented strategy for power system restorationLiang, Bomiao; Xu, Yan; Wen, Fushuan; Palu, Ivo; Liu, Weijia; Shan, Huiyu2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 8 p https://doi.org/10.1109/PQ.2019.8818244
- Fast precise eddy current measurement of metalsMärtens, Olev; Land, Raul; Min, Mart; Rist, Marek; Annus, Paul; Pokatilov, Andrei2018 IEEE International Instrumentation and Measurement Technology Conference (I2MTC 2018) : proceedings2018 / 5 p. : ill https://doi.org/10.1109/I2MTC.2018.8409781
- Fast RTL fault simulation using decision diagrams and bitwise set operationsReinsalu, Uljana; Raik, Jaan; Ubar, Raimund-Johannes; Ellervee, Peeter2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) : 3-5 October 2011, Vancouver, Canada2011 / p. 164-170 https://ieeexplore.ieee.org/document/6104440
- Fast static compaction of tests composed of independent sequences : basic properties and comparison of methodsRaik, Jaan; Jutman, Artur; Ubar, Raimund-JohannesThe 9th IEEE International Conference on Electronics, Circuits and Systems : ICECS 2002 : September 15-18, 2002, Dubrovnik, Croatia. Volume II2002 / p. 445-448 : ill http://dx.doi.org/10.1109/ICECS.2002.1046190 https://ieeexplore.ieee.org/document/1046190
- Fasten your seatbelts, turbulence ahead : environmental turbulence as a determinant of absorptive capacityStulova, Valeria; Rungi, MaitIEEM 2014 : 2014 IEEE International Conference on Industrial Engineering and Engineering Management : 9-12 December, 2014, Malaysia2014 / p. 1091-1095 : ill
- FastStereoNet : A fast neural architecture search for improving the inference of disparity estimation on resource-limited platformsLoni, Mohammad; Zoljodi, Ali; Majd, Amin; Ahn, Byung Hoon; Daneshtalab, Masoud; Sjödin, Mikael; Esmaeilzadeh, HadiIEEE Transactions on Systems, Man, and Cybernetics : Systems2022 / p. 5222-5234 : ill https://doi.org/10.1109/TSMC.2021.3123136 https://www.scopus.com/sourceid/21100262320 https://www.scopus.com/record/display.uri?eid=2-s2.0-85120087918&origin=inward&txGid=b62ae52404ac30b3248be943d3d4f2ff https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20SYST%20MAN%20CY-S&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000732342800001
- Fault analysis and field experiences of central inverter based 2 MW PV plantDumnic, Boris; Liivik, Elizaveta; Milicevic, Dragan2018 20th European Conference on Power Electronics and Applications (EPE'18 ECCE Europe) : Riga, Latvia, 17-21 September 20182018 / p. 2281-2290 : ill https://ieeexplore.ieee.org/document/8515423
- Fault collapsing with linear complexity in digital circuitsUbar, Raimund-Johannes; Mironov, Dmitri; Raik, Jaan; Jutman, ArturProceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS 2010) : 30 May - 2 June 2010, Paris, France2010 / p. 653-656 : ill https://ieeexplore.ieee.org/document/5537504
- Fault detection and protection system for the power converters with high-voltage IGBTs [Electronic resource]Vinnikov, Dmitri; Roasto, Indrek; Lehtla, TõnuICECS 2008 : The 15th IEEE International Conference on Electronics, Circuits and Systems : August 31 - September 3, 2008, Malta2008 / p. 922-925 : ill. [CD-ROM] https://ieeexplore.ieee.org/document/4675005
- Fault diagnosis of output-side diode-bridge in isolated DC-DC series resonant converterBakeer, Abualkasim Ahmed Ali; Chub, Andrii; Vinnikov, Dmitri2022 IEEE 7th International Energy Conference (ENERGYCON)2022 https://doi.org/10.1109/ENERGYCON53164.2022.9830339
- Fault diagnosis of the tooth belt transmission of Cartesian robotAutsou, Siarhei; Vaimann, Toomas; Rassõlkin, Anton; Asad, Bilal; Kudelina, Karolina; Huynh, Van Khang2022 29th International Workshop on Electric Drives : Advances in Power Electronics for Electric Drives : IWED2022 : Moscow Power Engineering Institute, Moscow, Russia : 26th– 29th of January 2022 : Proceedings2022 / 6 p https://doi.org/10.1109/IWED54598.2022.9722578
- Fault diagnosis system of Cartesian robot for various belt tensionAutsou, Siarhei; Vaimann, Toomas; Rassõlkin, Anton; Kudelina, Karolina2022 International Conference on Diagnostics in Electrical Engineering (Diagnostika)2022 / 4 l https://doi.org/10.1109/Diagnostika55131.2022.9905111
- Fault management instrumentation network based on IEEE P1687 IJTAGShibin, Konstantin; Jutman, Artur; Devadze, SergeiEuropean Test Symposium (ETS), 2013, Avignon, France2013
- Fault management techniques to enhance the reliability of power electronic converters : an overviewRahimpour, Saeed; Husev, Oleksandr; Vinnikov, Dmitri; Vosoughi Kurdkandi, Naser; Tarzamni, HadiIEEE Access2023 / p. 13432-13446 https://doi.org/10.1109/ACCESS.2023.3242918 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85148417672&origin=inward&txGid=639225cef935c017c7e861d37e220e88 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000938006000001
- Fault simulation and analysis of high-voltage circuit breaker's spring charging mechanism using a universal motor modelAsad, Bilal; Vaimann, Toomas; Kallaste, Ants; Kilter, Jako; Sardar, Muhammad Usman2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 5 p https://doi.org/10.1109/RTUCON62997.2024.10830846
- Fault simulation with parallel exact critical path tracing in multiple core environmentGorev, Maksim; Ubar, Raimund-Johannes; Devadze, SergeiProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE) : 9-13 March 2015, Grenoble, France2015 / p. 1180-1185 : ill
- Fault tolerant control of a grid-connected microgrid with high penetration of renewable energySenanayaka, Jagath; Khang, Huynh Van; Vaimann, Toomas; Rassõlkin, Anton24th International Conference on Electrical Machines and Systems (ICEMS)2021 / p. 938-943 https://doi.org/10.23919/ICEMS52562.2021.9634364
- Fault-aware performance assessment approach for embedded networksMalburg, Jan; Janson, Karl; Raik, Jaan; Dannemann, Frank2019 22nd International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Cluj-Napoca, Romania : proceedings2019 / 4 p. : ill https://doi.org/10.1109/DDECS.2019.8724670
- Fault-resilient NoC router with transparent resource allocationPutkaradze, Tsotne; Azad, Siavoosh Payandeh; Niazmand, Behrad; Raik, Jaan; Jervan, Gert12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC2017), July 12-14, 2017, Madrid, Spain : proceedings2017 / 8 p. : ill https://doi.org/10.1109/ReCoSoC.2017.8016161 https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=8016161
- Fault-tolerant approach for photovoltaic module-level power electronic applicationsVinnikov, Dmitri; Chub, Andrii; Korkh, Oleksandr; Malinowski, Mariusz2020 IEEE 14th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : proceedings2020 / p. 438-444 : ill https://doi.org/10.1109/CPE-POWERENG48600.2020.9161599
- Fault-tolerant bidirectional series resonant DC-DC converter with minimum number of componentsVinnikov, Dmitri; Chub, Andrii; Korkh, Oleksandr; Malinowski, Mariusz2019 IEEE Energy Conversion Congress and Exposition, ECCE, 2019-09-29 - 2019-10-03, Baltimore, MD, USA2019 / p. 1359–1363 https://doi.org/10.1109/ECCE.2019.8912292
- Fault-tolerant control of a grid-connected bipolar DC microgrid with high penetration of intermittent renewable energySenanayaka, Jagath; Khang, Huynh Van; Rassõlkin, Anton; Vaimann, Toomas; Zakis, Janis; Pomarnacki, RaimondasIECON 2022 - 48th Annual Conference of the IEEE Industrial Electronics Society2022 / p. 1-6 https://doi.org/10.1109/IECON49645.2022.9968572 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85143912580&origin=inward&txGid=ff3eecd7f1d4e7fc431b048db1353441
- Fault-tolerant soft-switching current-fed DC-DC converterZinchenko, Denys; Kosenko, Roman; Blinov, Andrei; Vinnikov, Dmitri2019 IEEE 2nd Ukraine Conference on Electrical and Computer Engineering : UKRCON-2019 : conference proceedings2019 / p. 437-440 : ill https://doi.org/10.1109/UKRCON.2019.8879973
- FCS-model predictive control of a quadratic buck converter for more efficient data centersAzadi, Shirin; Flores-Bahamonde, Freddy; Alireza Davari, S.; Torres-Pinzon, C. A.; Chub, Andrii; Rodriguez, Jose2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 5 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227387
- A feasibility analysis of decentralized solar power using RETScreen in OdishaMishra, Sambeet; Tripathy, Pratyasha; Ali, Sayed Majid2015 International Conference on Electrical, Electronics, Signals, Communication and Optimization (EESCO) : Visakhapatnam, India, 24-25 January 20152015 / [6] p. : ill https://doi.org/10.1109/EESCO.2015.7253739
- Feasibility of utilizing air gapped toroidal magnetic cores for detecting pulse wave in radial arteryMetshein, Margus; Pesti, Ksenija; Min, Mart; Annus, Paul; Märtens, Olev2020 17th Biennial Baltic electronics conference, Tallinn, Estonia, October 6-8, 2020 : proceedings2020 / 5 p. : ill https://doi.org/10.1109/BEC49624.2020.9277197
- Feasibility study of a DC house connected to a conventional AC distribution networkHokmabad, Hossein Nourollahi; Shabir, Noman; Astapov, Victor; Petlenkov, Eduard; Husev, Oleksandr; Belikov, Juri2024 IEEE 18th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2024 / 6 p https://doi.org/10.1109/CPE-POWERENG60842.2024.10604419
- Feasibility study of cascading of full soft-switching current-fed naturally clamped DC-DC convertersKosenko, Roman; Chub, Andrii; Blinov, Andrei2016 10th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : proceedings : Opera Nova's Congress Center, Bydgoszcz, Poland, 29. June - 01. July, 20162016 / p. 384-389 : ill https://doi.org/10.1109/CPE.2016.7544219
- Feasibility study of energy supply in deep North Regions: the case study of Yakutia remote community (Russia)Trashchenkov, Sergei; Astapov, Victor; Kull, Karl2019 Electric Power Quality and Supply Reliability Conference (PQ) & 2019 Symposium on Electrical Engineering and Mechatronics (SEEM), Kärdla, Estonia, June 12-15, 2019 : proceedings2019 / 6 p. : ill https://doi.org/10.1109/PQ.2019.8818238
- Feasibility study of interleaving approach for buck-boost inverter with unfolding circuitFesenko, Artem; Matiushkin, Oleksandr; Husev, Oleksandr; Khandakji, Kamal; Velihorskyi, Oleksandr2019 IEEE 2nd Ukraine Conference on Electrical and Computer Engineering : UKRCON-2019 : conference proceedings2019 / p. 415−419 : ill https://doi.org/10.1109/UKRCON.2019.8879966
- Feasibility study of model predictive control for grid-connected twisted buck-boost inverterMatiushkin, Oleksandr; Husev, Oleksandr; Rodriguez, Jose; Young, Hector; Roasto, IndrekIEEE transactions on industrial electronics2022 / p. 2488-2499 https://doi.org/10.1109/TIE.2021.3068663 https://www.scopus.com/sourceid/26053 https://www.scopus.com/record/display.uri?eid=2-s2.0-85103786482&origin=inward&txGid=9ac99927bba115689d6bbf52d3c69778 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20IND%20ELECTRON&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000728182300034
- Feasibility study of Si and SiC MOSFETs in high-gain DC/DC converter for renewable energy applicationsBlinov, Andrei; Chub, Andrii; Vinnikov, Dmitri; Rang, ToomasProceedings : IECON 2013 - 39th Annual Conference of the IEEE Industrial Electronics Society : Austria Center Vienna, Vienna, Austria, 10-14 November, 20132013 / p. 5975-5978 : ill https://doi.org/10.1109/IECON.2013.6700115 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-84893592916&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FIECON.2013.6700115%29&sessionSearchId=44f84a2550abe92417e403969f4cb48d
- Feasibility study of three-phase modular converter for dual-purpose application in DC and AC microgridsRoncero-Clemente, Carlos; Husev, Oleksandr; Matiushkin, Oleksandr; Gutierrez-Escalona, Javier; Barrero-Gonzalez, Fermin; Vinnikov, Dmitri; Strzelecki, RyszardIEEE journal of emerging and selected topics in power electronics2024 / p. 1348-1358 https://doi.org/10.1109/JESTPE.2023.3247960
- Feasibility study of universal power electronics interface operation in 350 V and 700 V residential DC microgridsSidorov, Vadim; Chub, Andrii; Vinnikov, Dmitri2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 7 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227441
- Feature article: Firmware updating systems for nanosatellitesSünter, Indrek; Slavinskis, Andris; Kvell, Urmas; Vahter, Andres; Kuuste, Henri; Noorma, Mart; Kutt, Johan; Vendt, Riho; Tarbe, Karl; Pajusalu, Mihkel; Veske, Mihkel; Ilves, TaaviIEEE Aerospace and Electronic Systems Magazine2016 / p. 36 - 44 https://doi.org/10.1109/MAES.2016.150162 https://www.scopus.com/sourceid/25993 https://www.scopus.com/record/display.uri?eid=2-s2.0-84976884548&origin=resultslist&sort=plf-f&src=s&sid=ec6ceae7563257d48689ec2672e7747a&sot=b&sdt=b&s=DOI%2810.1109%2FMAES.2016.150162%29&sl=29&sessionSearchId=ec6ceae7563257d48689ec2672e7747a&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20AERO%20EL%20SYS%20MAG&year=2016 https://www.webofscience.com/wos/woscc/full-record/WOS:000379701600005
- Feature engineering for short-term forecast of energy consumptionSpitšakova, Margarita; Belikov, Juri; Nõu, Kalvi; Petlenkov, EduardProceedings of 2019 IEEE PES : Innovative Smart Grid Technologies Europe : (ISGT-Europe), Bucharest Romania, 29 September - 2 October, 20192019 / 5 p. : ill https://doi.org/10.1109/ISGTEurope.2019.8905698
- Feature engineering of Weather Data for Short-Term Energy Consumption ForecastSinimaa, Maria; Spitšakova, Margarita; Belikov, Juri; Petlenkov, Eduard2021 IEEE Madrid PowerTech2021 / 6 p. https://doi.org/10.1109/PowerTech46648.2021.9494920
- FESDA : fog-enabled secure data aggregation in smart grid IoT networkSaleem, Ahsan; Khan, Abid; Malik, Saif Ur Rehman; Pervaiz, Haris; Malik, Hassan; Alam, Masoom; Jindal, AnishIEEE Internet of Things Journal2020 / p. 6132-6142 https://doi.org/10.1109/JIOT.2019.2957314 https://www.scopus.com/sourceid/21100338350 https://www.scopus.com/record/display.uri?eid=2-s2.0-85089305536&origin=inward&txGid=58cc53f0002a0a29954bcd8480394fdd https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20INTERNET%20THINGS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000548817900040
- Fiducial point estimation solution for impedance cardiography measurementsMärtens, Olev; Metshein, Margus; Abdullayev, Anar; Larras, Benoit; Frappe, Antoine; Gautier, Antoine; Saeed, Maryam; John, Deepu; Cardiff, Barry; Krivošei, Andrei; Annus, Paul; Rist, Marek2022 IEEE International Instrumentation and Measurement Technology Conference (I2MTC)2022 / Code 180602 https://doi.org/10.1109/I2MTC48687.2022.9806596 https://www.scopus.com/sourceid/15045 https://www.scopus.com/record/display.uri?eid=2-s2.0-85134427339&origin=inward&txGid=f807ba68464c65296b4589a347a61615 https://www.webofscience.com/wos/woscc/full-record/WOS:000844585400127
- FILOSE for svenning : a flow sensing bioinspired robotEL Daou, Hadi; Ježov, Jaas; Jung, David S.; Kruusmaa, Maarja; Listak, Madis; Salumäe, Taavi; Toming, GertIEEE robotics and automation magazine2014 / p. 51-62 : ill https://doi.org/10.1109/MRA.2014.2322287 https://www.scopus.com/sourceid/18027 https://www.scopus.com/record/display.uri?eid=2-s2.0-84907208217&origin=inward&txGid=6adff37a4cf9f69fa44daee1f331306f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ROBOT%20AUTOM%20MAG&year=2014 https://www.webofscience.com/wos/woscc/full-record/WOS:000342087700009
- Financial and strategic impact of VCs on start-up development : Silicon Valley decacorns vs. Northern-European experienceRungi, Mait; Saks, Egon; Tuisk, Kristiina2016 IEEE International Conference on Industrial Engineering and Engineering Management : IEEM2016 : 4-7 December 2016, Bali, Indonesia2016 / p. 452-456 : tab https://doi.org/10.1109/IEEM.2016.7797916
- Findings from cluster analysis of logistics undergraduate curricula in EuropeNiine, Tarvo; Koppel, OttProceedings of 2015 IEEE Global Engineering Education Conference (EDUCON) : 18-20 March 2015, Tallinn University of Technology (TUT), Tallinn, Estonia2015 / p. 231-238 : ill http://dx.doi.org/10.1109/EDUCON.2015.7095976
- A first experimental study of fixed-point approximate arithmetic in recursive lattice filtersKoch, Peter; Le Moullec, Yannick2023 IEEE Nordic Circuits and Systems Conference (NorCAS)2023 / 6 p. : ill https://doi.org/10.1109/NorCAS58970.2023.10305450
- First-year dropout in ICT studiesKori, Külli; Pedaste, Margus; Sell, Raivo; Murtazin, Kristina; Rüütmann, TiiaProceedings of 2015 IEEE Global Engineering Education Conference (EDUCON) : 18-20 March 2015, Tallinn University of Technology (TUT), Tallinn, Estonia2015 / p. 437-445 : ill http://dx.doi.org/10.1109/EDUCON.2015.7096008
- 5G testbed implementation and measurement campaign for ground and aerial coverageFjodorov, Aleksei; Masood, Ali; Alam, Muhammad Mahtab; Pärand, Sven2022 18th Biennial Baltic Electronics Conference (BEC)2022 / 6 p. https://doi.org/10.1109/BEC56180.2022.9935602
- Fixed order stabilizing controller design via random reflection segmentsNurges, Ülo; Avanessov, SergeiThe 12th International Conference on Control, Automation, Robotics and Vision, ICARCV 2012 : 5-7 December, 2012, Guangzhou, China : [proceedings]2012 / p. 530-535 : ill https://ieeexplore.ieee.org/document/5983069/similar#similar
- A flapped paddle-fin for improving underwater propulsive efficiency of oscillatory actuationSimha, Ashutosh; Gkliva, Roza; Kotta, Ülle; Kruusmaa, MaarjaIEEE robotics and automation letters2020 / p. 3176-3181 https://doi.org/10.1109/LRA.2020.2975747 https://www.scopus.com/sourceid/21100900379 https://www.scopus.com/record/display.uri?eid=2-s2.0-85081661729&origin=inward&txGid=d33e5f0618f56df9f42f4691a75a64d3 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ROBOT%20AUTOM%20LET&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000526704900006
- Flexibility enhancement of hybrid microgrids using optimal H∞ filtering-based fuzzy control of UIPCZolfaghari, Mahdi; Ahmadiahangar, Roya; Gharehpetian, Gevork B.; Häring, Tobias; Rosin, ArgoProceedings : 2020 IEEE 14th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : Online - Setúbal, Portugal, 08 - 10 July, 20202020 / p. 451-455 https://doi.org/10.1109/CPE-POWERENG48600.2020.9161545
- Flexibility investigation of price-responsive batteries in the microgrids clusterAhmadiahangar, Roya; Azizi, Elnaz; Subham, Sahoo; Häring, Tobias; Rosin, Argo; Vinnikov, Dmitri; Dragicevic, Tomislav; Blaabjerg, FredeProceedings : 2020 IEEE 14th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : Online - Setúbal, Portugal, 08 - 10 July, 20202020 / p. 456-461 : ill https://doi.org/10.1109/CPE-POWERENG48600.2020.9161667
- Flexible controller for educational robot kitRuberg, Priit; Guitar, Aivar; Ellervee, Peeter2015 International Conference on Microelectronic Systems Education : MSE '15 : Pittsburgh, PA, May 20-21, 20152015 / p. 17-20 : ill http://dx.doi.org/10.1109/MSE.2015.7160007
- Flexible curricula for e-learning of SME staff in electronic engineeringRaud, Zoja; Vodovozov, Valery; Lehtla, Tõnu9th IEEE/ACIS International Conference on Computer and Information Science (ICIS 2010) : August 18-20, 2010, Japan2010 / p. 384-388 https://ieeexplore.ieee.org/document/5590514
- Flexible data acquisition system with custom front-end for ultrasonic NDT researchPeng, Chengxiang; Ratassepp, Madis; Annus, Paul; Rist, Marek; Land, Raul; Märtens, Olev2024 19th Biennial Baltic Electronics Conference (BEC)2024 / 4 p https://doi.org/10.1109/BEC61458.2024.10737989
- A flexible enhanced throughput and reduced overhead (FETRO) MAC protocol for ETSI SmartBANKhan, Rida; Alam, Muhammad Mahtab; Guizani, MohsenIEEE Transactions on Mobile Computing2022 / p. 2671-2686 : ill https://doi.org/10.1109/TMC.2020.3047596 https://www.scopus.com/sourceid/25038 https://www.scopus.com/record/display.uri?eid=2-s2.0-85098767857&origin=inward&txGid=53824e61fa4e5cc55cb15290d4b1d15d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20MOBILE%20COMPUT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000819824200001
- Flexible inductive coils for wearable cardiorespiratory activity monitoring [Online resource]Metshein, Margus; Annus, Paul; Min, MartBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p. : ill https://doi.org/10.1109/BEC.2018.8600990
- A flexible MATLAB tool for optimal fractional-order PID controller design subject to specicationsTepljakov, Aleksei; Petlenkov, Eduard; Belikov, JuriProceedings of the 31st Chinese Control Conference : July, 2012, Hefei, China2012 / p. 4698-4703 : ill https://www.researchgate.net/publication/259741861_A_Flexible_MATLAB_Tool_for_Optimal_Fractional-order_PID_Controller_Design_Subject_to_Specifications
- FlockHeadz: virtual flock in a room used as a controllerLints, TaivoIEEE Swarm Intelligence Symposium 2008 (SIS2008) : 21-23 September 2008, St.Louis, MO, USA2008 / ? p https://ieeexplore.ieee.org/document/4668279
- Flood prevention through condition monitoring of pumping stationsGevorkov, Levon; Rassõlkin, Anton; Kallaste, Ants; Vaimann, Toomas2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 5 p. : ill https://doi.org/10.1109/RTUCON48111.2019.8982305
- Flow aided path following of an underwater robotJung, David S.; Pott, Peter P.; Salumäe, Taavi; Kruusmaa, Maarja2013 IEEE International Conference on Robotics and Automation (ICRA) : Karlsruhe, Germany, May 6-10, 20132013 / p. 4602-4607 : ill https://doi.org/10.1109/ICRA.2013.6631231 https://www.scopus.com/sourceid/25456 https://www.scopus.com/record/display.uri?eid=2-s2.0-84887270601&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FICRA.2013.6631231%29&sessionSearchId=225678e0ce9a8f1e46110ae409abc09f&relpos=0
- Flow feature extraction for underwater robot localization : preliminary resultsMuhammad, Naveed; Strokina, Nataliya; Toming, Gert; Tuhtan, Jeffrey Andrew; Kämäräinen, Joni-Kristian; Kruusmaa, Maarja2015 IEEE International Conference on Robotics and Automation (ICRA) : Washington State Convention Center, Seattle, Washington, May 26-30, 20152015 / p. 1125-1130 : ill https://doi.org/10.1109/ICRA.2015.7139317 https://www.scopus.com/sourceid/25456 https://www.scopus.com/record/display.uri?eid=2-s2.0-84938234219&origin=inward&txGid=54d11929110b0d65afbf5b5012cec82a https://www.webofscience.com/wos/woscc/full-record/WOS:000370974901018
- Fluid dynamics experiments with a passive robot in regular turbulenceToming, Gert; Salumäe, Taavi; Ristolainen, Asko; Visentin, Francesco; Akanyeti, Otar; Kruusmaa, MaarjaProceedings of the 2012 IEEE International Conference on Robotics and Biomimetics : December 11-14, 2012, Guangzhou, China2012 / p. 532-537 : ill https://www.researchgate.net/publication/261276529_Fluid_dynamics_experiments_with_a_passive_robot_in_regular_turbulence
- Flux-weakening control for IPMSM employing model order reductionFar, Mehrnaz Farzam; Mustafa, Bilal; Martin, Floran; Rasilo, Paavo; Belahcen, Anouar2018 XIII International Conference on Electrical Machines (ICEM 2018) : Alexandroupoli, Greece, 3-6 September 20182018 / p. 1510–1516 : ill http://doi.org/10.1109/ICELMACH.2018.8506693
- Focusing aspects of delayed time reversal based nonlinear elastic wave spectroscopy methodsLints, Martin; Dos Santos, Serge; Salupere, Andrus2016 IEEE International Ultrasonics Symposium, IUS : [September 18-21, Tours, France]2016 / [4] p. : ill https://doi.org/10.1109/ULTSYM.2016.7728831
- FOMCON : fractional-order modeling and control toolbox for MATLABTepljakov, Aleksei; Petlenkov, Eduard; Belikov, JuriProceedings of the 18th International Conference Mixed Design of Integrated Circuits and Systems : MIXDES 2011 : Gliwice, Poland 16 - 18 June, 20112011 / p. 684-689 https://www.researchgate.net/publication/259741855_FOMCON_a_MATLAB_toolbox_for_fractional-order_system_identification_and_control
- FOMCONpy: Fractional-order modelling and control library for pythonOnyedi, Tobechukwu; Tepljakov, Aleksei; Petlenkov, Eduard2020 43rd International Conference on Telecommunications and Signal Processing : TSP 2020, Milan, Italy, July 7-9, 20202020 / p. 239-245 http://doi.org/10.1109/TSP49548.2020.9163581
- FOPID controller tuning for fractional FOPDT plants subject to design specifications in the frequency domainTepljakov, Aleksei; Petlenkov, Eduard; Belikov, Juri2015 European Control Conference (ECC) : July 15-17, 2015, Linz, Austria2015 / p. 3502-3507 : ill http://dx.doi.org/10.1109/ECC.2015.7331076
- Force-based feedback for haptic device of mobile assembly robotLukin, Aleksandr; Demidova, Galina; Rassõlkin, Anton; Vaimann, Toomas; Roozbahani, Hamid2020 27th International Workshop on Electric Drives: MPEI Department of Electric Drives 90th Anniversary (IWED), Moscow, Russia, January 27-30, 20202020 / 5 p. : ill https://doi.org/10.1109/IWED48848.2020.9069581
- Forecasting demand-side flexibility of a household with dynamic consumer behavior analysisShahid, Arqum; Ahmadiahangar, Roya; Rosin, Argo; Korõtko, TarmoIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2024) : proceedings2024 / 5 p https://doi.org/10.1109/ISGTEUROPE62998.2024.10863005
- Forecasting PV energy generation using transformer-based architectures: A comparative study of Lag-Llama, TFT, and DeepARAmjad, Furqan; Korõtko, Tarmo; Rosin, Argo2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 6 p https://doi.org/10.1109/RTUCON62997.2024.10830763
- Forecasting short term wind energy generation using machine learningShabbir, Noman; Ahmadiahangar, Roya; Kütt, Lauri; Iqbal, Muhammad Naveed; Rosin, Argo2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), 7-9 October 2019 : conference proceedings2019 / 4 p https://doi.org/10.1109/RTUCON48111.2019.8982365
- Foreword to the 12th IEEE DDECS SymposiumPliva, Zdenek; Manhaeve, Hans; Renovell, Michel; Novak, Ondrej; Ubar, Raimund-Johannes; Drabkova, JindraProceedings of the 2009 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems : April 15-17, 2009, Liberec, Czech Republic2009 / p. iii http://dx.doi.org/10.1109/DDECS.2009.5012081
- Forward and backward shifts of vector fields : towards the dual algebraic frameworkMullari, Tanel; Kotta, Ülle; Bartosiewicz, Zbigniew; Pawluszewicz, Ewa; Moog, ClaudeIEEE transactions on automatic control2017 / p. 3029-3033 https://doi.org/10.1109/TAC.2016.2608718 https://www.scopus.com/sourceid/17339 https://www.scopus.com/record/display.uri?eid=2-s2.0-85028357881&origin=inward&txGid=0397bf2555a5c74183cf5ad65dc1c355 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20AUTOMAT%20CONTR&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000402733600039
- Forward-based DC-DC converter with eliminated leakage inductance problemMatiushkin, Oleksandr; Husev, Oleksandr; Afshari, Hossein; Romero-Cadaval, Enrique; Roncero-Clemente, CarlosIEEE transactions on industrial electronics2024 https://doi.org/10.1109/TIE.2024.3429626
- Foundation of project interdependencies : perspective of organizational theoriesRungi, Mait2018 IEEE International Conference on Industrial Engineering and EngineeringManagement (IEEM 2018) : Bangkok, Thailand, 16-19 December 20182018 / p. 366-370 https://doi.org/10.1109/IEEM.2018.8607453
- Four level inverter's DC bus voltage balancing with 3-Terminal DAB converterGrabarek, Maciej; Strzelecki, Ryszard; Tomasov, Valentin S.; Vinnikov, Dmitri2016 10th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG) : proceedings : Opera Nova's Congress Center, Bydgoszcz, Poland, 29. June - 01. July, 20162016 / p. 396-401 : ill https://doi.org/10.1109/CPE.2016.7544221
- Four-span dispersion map optimization for improved nonlinearity mitigation in phase-sensitive amplifier linksAstra, Egon; Eliasson, Henrik; Andrekson, Peter Avo2017 European Conference on Optical Communication (ECOC 2017), Gothenburg, Sweden, 17-21 September 20172017 / p. 424-426 : ill https://doi.org/10.1109/ECOC.2017.8345968
- FP7 DIAMOND : design error diagnosis and correction success storiesRaik, Jaan; Jenihhin, Maksim; Könighofer, RobertEuropean Test Symposium (ETS), 2013, Avignon, France2013 / p. 1-6
- FPGA based system for video compression and transmission over bluetoothGorev, Maksim; Ellervee, Peeter53rd IEEE International Midwest Symposium on Circuits and Systems : Seattle, Washington, USA, August 1-4, 2010 : proceedings2010 / p. 367-370 : ill https://ieeexplore.ieee.org/document/5548853
- FPGA-based 16-bit 20 MHz device for the inductive measurement of electrical bio-impedancePriidel, Eiko; Pesti, Ksenija; Min, Mart; Ojarand, Jaan; Märtens, Olev2021 IEEE International Instrumentation and Measurement Technology Conference (I2MTC 2021), May 17-20, 2021 : proceedings2021 / 5 p. : ill https://doi.org/10.1109/I2MTC50364.2021.9460073
- FPGA-based time and cost effective Hamming weight comparators for binary vectorsSklyarov, Valery; Skliarova, Iouliia; Sudnitsõn, Aleksander; Kruus, MargusProceedings : EUROCON 2015 : Salamanca, Spain, 8th-11th September2015 / p. 328-333 : ill http://dx.doi.org/10.1109/EUROCON.2015.7313700
- Fractional order model identification of receptor-ligand complexes formation by equivalent electrical circuit modelingAtes, Abdullah; Alagoz, Baris Baykant; Tepljakov, Aleksei; Petlenkov, Eduard2019 International Artificial Intelligence and Data Processing Symposium (IDAP)2019 / 5 p. : ill https://doi.org/10.1109/IDAP.2019.8875913
- Fractional-order controller design and digital implementation using FOMCON toolbox for MATLABTepljakov, Aleksei; Petlenkov, Eduard; Belikov, Juri; Finajev, Jevgeni2013 IEEE Conference on Computer Aided Control System Design (CACSD) : Part of 2013 IEEE Multi-Conference on Systems and Control : Hyderabad, India, August 28-30, 20132013 / p. 340-345 : ill
- Fracture estimation based on deformation history with recurrent neural networksYatkin, Muhammed Adil; Kõrgesaar, Mihkel2023 International Conference on Machine Learning and Applications (ICMLA) : proceedings2023 / p. 1025-1030 https://doi.org/10.1109/ICMLA58977.2023.00152
- Frankenstack : real-time cyberattack detection and feedback system for technical cyber exercisesPihelgas, Mauno; Kont, MarkusProceedings of the 2021 IEEE International Conference on Cyber Security and Resilience (CSR), July 26–28, 2021 : Virtual Conference : proceedings2021 / p. 396-402 https://doi.org/10.1109/CSR51186.2021.9527923
- Frankenstack : toward real-time red team feedbackKont, Markus; Pihelgas, Mauno; Maennel, Kaie; Blumbergs, Bernhards; Lepik, ToomasMILCOM 2017 - 2017 IEEE Military Communications Conference : Baltimore, Maryland, USA, 23-25 October 20172017 / p. 400-405 : ill https://doi.org/10.1109/MILCOM.2017.8170852 https://www.scopus.com/sourceid/87683 https://www.scopus.com/record/display.uri?eid=2-s2.0-85042381606&origin=inward&txGid=74a20251627c1988d3da254ffb684e70 https://www.webofscience.com/wos/woscc/full-record/WOS:000426935700069
- From archetypes-based domain model of clinical laboratory to LIMS softwarePiho, Gunnar; Tepandi, Jaak; Parman, Marko; Perkins, DavidMIPRO 2010 : 33rd International Convention on Information and Communication Technology, Electronics and Microelectronics : May 24 - 28, 2010 Opatija, Croatia : proceedings. Vol. Digital Economy2010 / p. 1179-1184 : ill https://ieeexplore.ieee.org/document/5533639
- From bench to bedsideArezzo, Alberto; Kruusmaa, Maarja; Mylonas, GeorgeIEEE Transactions on Medical Robotics and Bionics2022 / p. 297 - 299 https://doi.org/10.1109/TMRB.2022.3172013 https://www.scopus.com/sourceid/21101060161 https://www.scopus.com/record/display.uri?eid=2-s2.0-85130824342&origin=inward&txGid=73b78c6b84988368707ad7d85ae8e561 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20MED%20ROBOT%20BIO&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000896692200001
- From FPGAs to obfuscated eASICs : design and security trade-offsAbideen, Zain Ul; Perez, Tiago Diadami; Pagliarini, Samuel NascimentoIEEE Asian Hardware-Oriented Security and Trust (AsianHOST)2021 / p. 1-4 https://doi.org/10.1109/AsianHOST53231.2021.9699758
- From online fault detection to fault management in network-on-chips : a ground-up approachAzad, Siavoosh Payandeh; Niazmand, Behrad; Janson, Karl; Nevin, George; Oyeniran, Adeboye Stephen; Putkaradze, Tsotne; Apneet Kaur; Raik, Jaan; Jervan, Gert; Ubar, Raimund-Johannes; Hollstein, ThomasProceedings 2017 IEEE 20th International Symposium on Design and Diagnotics of Electronic Circuit & Systems(DDECS) : April 19-21, 2017, Dresden, Germany2017 / p. 48-53 : ill https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=7934553
- From RTL liveness assertions to cost-effective hardware checkersHariharan, Ranganathan; Ghasempouri, Tara; Niazmand, Behrad; Raik, JaanXXXIII Conference on Design of Circuits and Integrated Systems (DCIS) : proceedings2018 / 6 p. : ill https://doi.org/10.1109/DCIS.2018.8681487
- From virtual characterization to test-chips : DFM analysis through pattern enumerationMartins, Mayler G.A.; Pagliarini, Samuel Nascimento; Isgenc, Mehmet Meric; Pileggi, LarryIEEE transactions on computer-aided design of integrated circuits and systems2020 / p. 520-532 https://doi.org//10.1109/TCAD.2018.2889772
- FSMD RTL design manipulation for clock interface abstractionAbrar, Syed Saif; Jenihhin, Maksim; Raik, Jaan2015 International Conference on Advances in Computing, Communications and Informatics (ICACCI) : 10-13 August 2015, Kerala, India2015 / p. 463-468 : ill http://dx.doi.org/10.1109/ICACCI.2015.7275652
- Fuel cell city buses : grey shadows of green energyVodovozov, Valery; Raud, Zoja; Petlenkov, Eduard2022 18th Biennial Baltic Electronics Conference (BEC)2022 / 6 l. https://doi.org/10.1109/BEC56180.2022.9935604
- A full bridge series-series resonant IPT system optimized for charging electric vehicle batteries across an extensive rangeKishan, Dharavath; Vinod, Marupuru; Chub, Andrii2024 IEEE 18th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2024 / 6 p https://doi.org/10.1109/CPE-POWERENG60842.2024.10604397
- Full soft-switching bidirectional isolated current-fed dual inductor push-pull DC-DC converter for battery energy storage applications [Online resource]Kosenko, Roman; Zakis, Janis; Blinov, Andrei; Chub, Andrii; Veligorskyi, Oleksandr2016 57th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) : October 13, 14, 2016 : conference proceedings2016 / [8] p. : ill https://doi.org/10.1109/RTUCON.2016.7763138
- Full soft-switching high step-up current-fed DC-DC converters with reduced conduction lossesKosenko, Roman; Husev, Oleksandr; Chub, Andrii2015 IEEE 5th International Conference on Power Engineering, Energy and Electrical Drives (POWERENG) : proceedings : May 11-13, 2015, Riga, Latvia2015 / p. 170-175 : ill http://dx.doi.org/10.1109/PowerEng.2015.7266313
- Full soft-switching high step-up DC-DC converter for photovoltaic applicationsBlinov, Andrei; Vinnikov, Dmitri; Ivakhno, Volodymyr2014 16th European Conference on Power Electronics and Applications (EPE'14-ECCE Europe) : Lappeenranta, Finland, 26-28 August 2014. Vol. 42014 / p. 2951-2957 : ill
- Full-bridge fault-tolerant isolated DC-DC converters : overview of technologies and application challengesBakeer, Abualkasim Ahmed Ali; Chub, Andrii; Vinnikov, DmitriIEEE Power Electronics Magazine2022 / p. 45-55 https://doi.org/10.1109/MPEL.2022.3196565 https://www.scopus.com/sourceid/21100358106 https://www.scopus.com/record/display.uri?eid=2-s2.0-85140802945&origin=inward&txGid=e84fed6e89f64add4203271f12ad9d3a https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20POWER%20ELECTRON&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000864183100010
- Full-soft-switching high step-up bidirectional isolated current-fed push-pull DC-DC converter for battery energy storage applications [Online resource]Kosenko, Roman; Chub, Andrii; Blinov, AndreiProceedings of the IECON2016 - 42nd Annual Conference of the Industrial Electronics Society : Florence (Italy), October 24-27, 20162016 / p. 6548-6553 : ill https://doi.org/10.1109/IECON.2016.7794014 https://www.scopus.com/sourceid/56670 https://www.scopus.com/record/display.uri?eid=2-s2.0-85010065003&origin=inward&txGid=fd12aa176490659aa8105b6c7ae766ff https://www.webofscience.com/wos/woscc/full-record/WOS:000399031206138
- Fully autonomous ship - will AI make “machine errors” or will they human errors in a new form?Alop, Anatoli2023 12th Mediterranean Conference on Embedded Computing (MECO)2023 / 3 p https://doi.org/10.1109/MECO58584.2023.10154946
- A fully decentralized distribution market mechanism using ADMMYang, Jiajia; Dong, ZhaoYang; Wen, Fushuan2019 IEEE Power & Energy Society General Meeting (PESGM)2019 / 5 p. : ill https://doi.org/10.1109/PESGM40551.2019.8973905
- A fully differential, 200MHz, programmable gain, level-shifting, hybrid amplifier/power combiner/test buffer, using pre-distortion for enhanced linearityKampus, Vahur; Rang, Toomas; Knaller, DanielPRIME 2018 : 14th Conference on PhD Research in Microelectronics and Electronics2018 / p. 5-8 : ill https://doi.org/10.1109/PRIME.2018.8430372
- Fuzzy control of energy recovery in electric vehicles with hybrid energy storageVodovozov, Valery; Aksjonov, Andrei; Ricciardi, Vincenzo; Raud, Zoja2019 International Conference on Clean Electrical Power (ICCEP)2019 / p. 345-350 : ill https://doi.org/10.1109/ICCEP.2019.8890103
- Fuzzy knowledge-based control for backing multi-trailer systemsRiid, Andri; Ketola, J.; Rüstern, EnnuProceedings of the IEEE Intelligent Vehicles Symposium (IV'07) : Istanbul, 13-15 June, 20072007 / p. 498-504 https://ieeexplore.ieee.org/document/4290164
- Fuzzy logic control of electric vehicles in changing braking conditionsVodovozov, Valery; Raud, Zoja; Aksjonov, Andrei; Petlenkov, Eduard2020 XI International Conference on Electrical Power Drive Systems (ICEPDS), Saint-Petersburg, Russia, October 4-7, 20202020 / art. 20192756, p. 107-112 https://doi.org/10.1109/ICEPDS47235.2020.9249083
- Fuzzy logic control of three-rate decentralized optimal control submodels of the Lockheed L1011 Tristar aircraftAstrov, Igor; Pedai, AndrusProceedings of the 5th IEEE International Conference on Intelligent Engineering Systems : Helsinki, 20012001 / p. 41-46
- FYPSim : an estimation framework for energy harvesting and energy prediction for WSNsAhmed, Faisal; Le Moullec, Yannick; Annus, Paul2016 IEEE International Conference on Consumer Electronics-Taiwan (ICCE-TW) : Nantou County, Taiwan, 27-29 May 20162016 / p. 291-292 : ill https://doi.org/10.1109/ICCE-TW.2016.7521033
- GA based optimization of NN-SANARX model for adaptive control of nonlinear systemsVassiljeva, Kristina; Petlenkov, Eduard; Belikov, JuriWCCI 2012 : IEEE World Congress on Computational Intelligence : June, 10-15, 2012, Brisbane, Australia2012 / p. 1674-1681 : ill https://ieeexplore.ieee.org/document/6252598
- Gain and order scheduled fractional-order PID control of fluid level in a multi-tank systemTepljakov, Aleksei; Petlenkov, Eduard; Belikov, Juri2014 International Conference on Fractional Differentiation and its Applications (ICFDA) : Catania, Italy, 23-25 June 20142014 / [6] p. : ill
- Gait analysis based approach for Parkinson's disease modeling with decision tree classifiersKrajuškina, Anna; Nõmm, Sven; Toomela, Aaro2018 IEEE International Conference on Systems, Man, and Cybernetics : SMC 2018, 7–10 October 2018 Miyazaki, Japan2018 / p. 3720-3725 : ill https://doi.org/10.1109/SMC.2018.00630
- Galvanically isolated quasi-Z-source DC-DC converters with combined energy transfer for renewable energy sources integrationChub, Andrii; Vinnikov, Dmitri; Jalakas, Tanel2015 IEEE International Conference on Industrial Technology (ICIT 2015) : Seville, Spain, 17-19 March 20152015 / p. 2896-2900 : ill
- Gate-level graph representation learning : a step towards the improved stuck-at faults analysisBalakrishnan, Aneesh; Alexandrescu, Dan; Jenihhin, Maksim; Lange, Thomas; Glorieux, MaximilienProceedings of the Twenty Second International Symposium on Quality Electronic Design (ISQED) : Santa Clara, USA, 7-9 April 20212021 / p. 24-30 https://doi.org/10.1109/ISQED51717.2021.9424256
- Gate-level modelling of NBTI-induced delays under process variationsCopetti, Thiago; Cardoso Medeiros, Guilherme; Bolzani Poehls, Leticia; Vargas, Fabian; Kostin, Sergei; Jenihhin, Maksim; Raik, Jaan; Ubar, Raimund-JohannesLATS 2016 : 17th IEEE Latin-American Test Symposium, Foz do Iguacu, Brazil, 6th-9th April 20162016 / p. 75-80 : ill http://dx.doi.org/10.1109/LATW.2016.7483343
- Gen Z oriented engineering education in the “Industry 4.0” ageRaud, Zoja; Vodovozov, Valery; Petlenkov, Eduard2023 IEEE 64th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, October 9-10, 2023 : conference proceedings2023 https://doi.org/10.1109/RTUCON60080.2023.10413087
- A generalized control paradigm for storage systems : optimal energy management and stability certificatesChowdhury, Nilanjan Roy; Baimel, Dmitry; Belikov, Juri; Levron, YoashIEEE Transactions on Control Systems Technology2023 / p. 2920-2927 https://doi.org/10.1109/TCST.2023.3269808 https://www.scopus.com/sourceid/17342 https://www.scopus.com/record/display.uri?eid=2-s2.0-85159791768&origin=resultslist&sort=plf-f&src=s&sid=3788597bbbb5be03473134e7cefc2b4a&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22A+Generalized+Control+Paradigm+for+Storage+Systems%3A+Optimal+Energy+Management+and+Stability+Certificates%22%29&sl=116&sessionSearchId=3788597bbbb5be03473134e7cefc2b4a&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20CONTR%20SYST%20T&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000988381600001
- Generation of stable polytopes of Hurwitz polynomials via Routh parametersNurges, Ülo; Artemtšuk, Igor; Belikov, Juri53rd IEEE Conference on Decision and Control : December 15-17, 2014, Los Angeles, California, USA2014 / p. 2390-2395 : ill https://doi.org/10.1109/CDC.2014.7039753 https://www.scopus.com/sourceid/87424 https://www.scopus.com/record/display.uri?eid=2-s2.0-84979634833&origin=inward&txGid=362c3f3548b0d23081260d011747ef11 https://www.webofscience.com/wos/woscc/full-record/WOS:000370073802086
- Generation of unmeasured loading levels data for condition monitoring of induction machine using machine learningBillah, Md Masum; Saberi, Alireza Nemat; Hemeida, Ahmed; Martin, Floran; Kudelina, Karolina; Asad, Bilal; Naseer, Muhammad Usman; Mukherjee, Victor; Belahcen, AnouarIEEE transactions on magnetics2023 https://doi.org/10.1109/TMAG.2023.3312267
- Generative adversarial network and CNN-LSTM based short-term power load forecastingLiu, Y.; Liang, Z.; Li, X.; Bakeer, Abualkasim Ahmed Ali2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 https://doi.org/10.1109/CPE-POWERENG58103.2023.10227473
- Generative design in development of mechanical components for Magnus effect-based wind turbineLukin, Aleksandr; Perepelkina, Svetlana; Demidova, Galina; Lukichev, Dmitry; Rassõlkin, Anton; Vaimann, Toomas; Kallaste, Ants2020 XI International Conference on Electrical Power Drive Systems (ICEPDS), Saint-Petersburg, Russia, October 4-7, 20202020 / p. 6-10 : ill https://doi.org/10.1109/ICEPDS47235.2020.9249344
- Generative design in weight optimization of reconfigurable continuous track robotValme, Daniil; Kudelina, Karolina; Belolipetskaja, Diana; Rassõlkin, Anton; Vaimann, Toomas; Kallaste, Ants2021 28th International Workshop on Electric Drives : Improving Reliability of Electric Drives (IWED)2021 / 6 p https://doi.org/10.1109/IWED52055.2021.9376329
- Generator mode analysis of exterior-rotor PM synchronous machine with Gramme's windingNukki, Rene; Kilk, Aleksander; Kallaste, Ants; Vaimann, Toomas; Tiimus, Kristjan2015 IEEE 5th International Conference on Power Engineering, Energy and Electrical Drives (POWERENG) : proceedings : May 11-13, 2015, Riga, Latvia2015 / p. 347-352 : ill http://dx.doi.org/10.1109/PowerEng.2015.7266341
- Genetic programming based identification of an industrial processTarasevich, Maksimilian; Tepljakov, Aleksei; Petlenkov, Eduard; Vansovitš, Vitali44th International Conference on Telecommunications and Signal Processing (TSP)2021 / p. 134-140 https://doi.org/10.1109/TSP52935.2021.9522588