A new measure for calculating multiple fault coverage of microprocessor self-testOyeniran, Adeboye Stephen; Odozi, Uzochukwu Eddie; Ubar, Raimund-JohannesBEC 2016 : 2016 15th Biennial Baltic Electronics Conference : proceedings of the 15th Biennial Baltic Electronics Conference : Tallinn University of Technology, October 3-5, 2016, Tallinn, Estonia2016 / p. 75-78 : ill http://www.ester.ee/record=b2150914*est Aasta 2000 probleemist ja ühilduvusestKirt, Toomas; Tepandi, JaakA & A1999 / 1, lk. 46-50 Acceleration of recursive data sorting over tree-based structuresMihhailov, Dmitri; Sudnitsõn, Aleksander; Sklyarov, Valery; Skliarova, IouliiaElektronika ir elektrotechnika = Electronics and electrical engineering2011 / p. 51-56 : ill https://eejournal.ktu.lt/index.php/elt/article/view/612 Address-based data processing over N-ary treesSklyarov, Valery; Skliarova, Iouliia; Kruus, Margus; Mihhailov, Dmitri; Sudnitsõn, AleksanderEuroCon 2013 : 01-04 July 2013, Zagreb, Croatia2013 / p. 1790-1797 : ill Advances in Model and Data Engineering in the Digitalization Era : MEDI 2021 International Workshops : DETECT, SIAS, CSMML, BIOC, HEDA, Tallinn, Estonia, June 21–23, 2021 : proceedings2021 https://doi.org/10.1007/978-3-030-87657-9 An optimization framework for dynamic pipeline management in computing systemsNaqvi, Syed Rameez; Zahid, Anjum; Sawalha, Lina; Jenihhin, MaksimComputers & electrical engineering2019 / p. 242-258 : ill https://doi.org/10.1016/j.compeleceng.2019.07.013 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Andmetöötluse riistvaraAgur, Ustus1976 https://www.ester.ee/record=b1287490*est Application of high-level decision diagrams for simulation-based verification tasksJenihhin, Maksim; Raik, Jaan; Tšepurov, Anton; Ubar, Raimund-JohannesEstonian journal of engineering2010 / 1, p. 56-77 : ill Approaches to extra-functional verification of security and reliability aspects in hardware designs = Riistvaraprojektide turva- ja töökindlusaspektide ekstrafunktsionaalse verifitseerimise lähenemisviisidLai, Xinhui2022 https://doi.org/10.23658/taltech.29/2022 https://digikogu.taltech.ee/et/Item/cff1aeb9-b0b2-49ce-b81a-bfb9dc25fd56 https://www.ester.ee/record=b5502807*est Areeba : an area efficient binary huff-curve architectureSajid, Asher; Rashid, Muhammad; Jamal, Sajjad Shaukat; Imran, Malik; Alotaibi, Saud S.; Sinky, Mohammed H.Electronics (Switzerland)2021 / art. 1490 https://doi.org/10.3390/electronics10121490 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Arvuti riistvarasse peidetud troojalane pakub häkkeritele hõlbuelu [Võrguväljaanne]Oidermaa, Jaan-Juhannovaator.err.ee2022 "Arvuti riistvarasse peidetud troojalane pakub häkkeritele hõlbuelu" Arvutid nööpiSinivee, VeljoPraktiline Arvutikasutaja2006 / 3, lk. 33-35 : ill https://artiklid.elnet.ee/record=b1053463*est Arvutitehnika riistvara : õpik kõrgkoolideleEvartson, Teet2013 https://www.ester.ee/record=b2967116*est Autonoomsed andmelogeridToomsalu, ArvoA & A2008 / 1, lk. 11-28 : ill https://artiklid.elnet.ee/record=b1021439*est Avatud lähtekood ja riistvaraRebane, JaanA & A2009 / 6, lk. 9-17 Benchmarking advanced security closure of physical layoutsEslami, Mohammad; Knechtel, Johann; Sinanoglu, Ozgur; Karri, Ramesh; Pagliarini, Samuel NascimentoISPD '23 : proceedings of the 2023 International Symposium on Physical Design2023 / p. 256-264 https://doi.org/10.1145/3569052.3578924 https://dl.acm.org/doi/pdf/10.1145/3569052.3578924 Bottlenecks in hardware design and design automation (Hardware synthesis: no pain, no gain)Ellervee, PeeterCREDES Summer School : Dependable Systems Design : handouts2011 / p. 49-58 : ill Calculation of LFSR seed and polynomial pair for BIST applicationsJutman, Artur; Tšertov, Anton; Ubar, Raimund-JohannesInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK kolmanda aastakonverentsi artiklite kogumik : 25.-26. aprill 2008, Voore külalistemaja2008 / p. 105-108 : ill Can 3D printing bring droplet microfluidics to every lab? - A systematic reviewGyimah, Nafisat; Scheler, Ott; Rang, Toomas; Pardy, TamasMicromachines2021 / art. 339 https://doi.org/10.3390/mi12030339 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Capillary electrophoresis as a monitoring tool for flow composition determinationKaljurand, Mihkel; Saar-Reismaa, Piret; Vaher, Merike; Gorbatšova, Jelena; Mazina-Šinkar, JekaterinaMolecules2021 / art. 4918, 12 p. : ill https://doi.org/10.3390/molecules26164918 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS CeleronToomsalu, ArvoArvutimaailm1998 / 7, lk. 47-48 https://artiklid.elnet.ee/record=b2003517*est Clock manipulation for heterogeneous emulation environmentEllervee, Peeter; Arhipov, Anton; Tammemäe, KalleProceedings [of] 24th IEEE Norchip Conference : Linköping, Sweden, 20-21 November 20062006 / p. 213-216 : ill https://ieeexplore.ieee.org/abstract/document/4126984 Compact smart home systems : design and verification of cost effective hardware solutionsKuusik, Alar2001 http://www.ester.ee/record=b1578112*est Compact smart home systems : design and verification of cost effective hardware solutionsKuusik, Alar2001 http://www.ester.ee/record=b1622134*est Control intensive digital system synthesisTammemäe, Kalle1997 http://www.ester.ee/record=b1060033*est Cost-effective concurrent hardware checkers for network on chip based system on chip = Kulutõhusad süsteemiga paralleelsed rikkemonitorid kiipvõrkudel põhinevatele kiipsüsteemideleHariharan, Ranganathan2019 https://digi.lib.ttu.ee/i/?12854 Cross-layer dependability management in network on chip based system on chip = Kiipvõrkudel põhinevate süsteemide kihtideülene usaldatavuse haldusAzad, Siavoosh Payandeh2018 https://digi.lib.ttu.ee/i/?9948 Databases and Information Systems : 14th International Baltic Conference, DB&IS 2020, Tallinn, Estonia, June 16-19, 2020 : Proceedings2020 https://doi.org/10.1007/978-3-030-57672-1 Data-driven cross-layer fault management architecture for sensor networksVihman, Lauri; Kruusmaa, Maarja; Raik, Jaan16th European Dependable Computing Conference : EDCC 2020 : Virtual Conference, Munich, Germany, 7-10 September 2020 : proceedings2020 / art. 20094188, p. 33-40 https://doi.org/10.1109/EDCC51268.2020.00015 Determined-safe faults identification : a step towards ISO26262 hardware compliant designsAugusto da Silva, Felipe; Bagbaba, Ahmet Cagri; Sartoni, Sandro; Cantoro, Riccardo; Sonza Reorda, Matteo; Hamdioui, Said; Sauer, Christian2020 25th IEEE European Test Symposium (ETS)2020 / 6 p. : ill https://doi.org/10.1109/ETS48528.2020.9131568 Development of a power electronics controller with RISC-V based core for security-critical applicationsSwakath, S. U.; Kshirsagar, Abhijit; Kondepu, Koteswararao; Banavath, Satish Naik; Chub, Andrii; Vinnikov, Dmitri2022 IEEE 63th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON): conference proceedings2022 / p. 1-5 https://doi.org/10.1109/RTUCON56726.2022.9978737 Digitaalarvutite operatsioonelemendid ja aritmeetikaseadmed : õppevahendMägi, Harri1978 https://www.ester.ee/record=b1274927*est Digitaalsüsteemide diagnostikaUbar, Raimund-Johannes2005 http://www.ester.ee/record=b2097071*est Eesti aparaadiehituse osa seismoloogia riistvara arengusHendre, EnnInsenerikultuur Eestis. 31997 / lk. 19-27: ill https://www.ester.ee/record=b1063622*est An efficient analog convolutional neural network hardware accelerator enabled by a novel memoryless architecture for insect-sized robotsDadras, Iman; Ahmadilivani, Mohammad Hasan; Banerji, Saoni; Raik, Jaan; Abloo, Alvo2022 11th International Conference on Modern Circuits and Systems Technologies (MOCAST) : Bremen, Germany : 08-10 June 20222022 / p. 1-6 https://doi.org/10.1109/MOCAST54814.2022.9837551 An efficient non-inverting buck-boost converter with improved step up/down abilityAbdelrahim Abdelghafour, Omar Mohamed; Chub, Andrii; Blinov, Andrei; Vinnikov, Dmitri; Peftitsis, DimosthenisEnergies2022 / art. 4550 https://doi.org/10.3390/en15134550 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS EFIC-ME : a fast emulation based fault injection control and monitoring enhancementAbideen, Zain Ul; Rashid, Muhammad HaroonIEEE Access2020 / p. 207705-207716 https://doi.org/10.1109/ACCESS.2020.3038198 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Elektroonikaettevõte premeerib kahte IT-tudengit 2500-eurose stipendiumiga [Võrguväljaanne]goodnews.ee2021 "Elektroonikaettevõte premeerib kahte IT-tudengit 2500-eurose stipendiumiga" Elliptic-curve crypto processor for RFID applicationsRashid, Muhammad; Jamal, Sajjad Shaukat; Khan, Sikandar Zulqarnain; Alharbi, Adel R.; Aljaedi, Amer; Imran, MalikApplied Sciences (Switzerland)2021 / art. 7079 https://doi.org/10.3390/app11157079 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Environmentally adaptive fish or no-fish classification for river video fish counters using high-performance desktop and embedded hardwareSoom, Jürgen; Pattanaik, Vishwajeet; Leier, Mairo; Tuhtan, Jeffrey AndrewEcological Informatics2022 / art. 101817, 14 p. : ill https://doi.org/10.1016/j.ecoinf.2022.101817 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Evaluating architectural, redundancy, and implementation strategies for radiation hardening of FinFET integrated circuitsPagliarini, Samuel Nascimento; Benites, Luis; Martins, Mayler; Rech, Paolo; Kastensmidt, FernandaIEEE transactions on nuclear science2021 / p. 1045-1053 https://doi.org/10.1109/TNS.2021.3070643 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Evaluation of deep neural network compression methods for edge devices using weighted score-based ranking schemeAdemola, Olutosin Ajibola; Leier, Mairo; Petlenkov, EduardSensors2021 / art. 7529 https://doi.org/10.3390/s21227529 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Fast iterative circuits and RAM-based mergers to accelerate data sort in software/hardware systemsSklyarov, Valery; Skliarova, Iouliia; Rjabov, Artjom; Sudnitsõn, AleksanderProceedings of the Estonian Academy of Sciences2017 / p. 323-335 : ill https://doi.org/10.3176/proc.2017.3.07 http://www.ester.ee/record=b2355998*est Fault simulation and code coverage analysis of RTL designs using high-level decision diagrams = Rikete simuleerimine ja koodikatte analüüs register-siirde tasemel kasutades kõrgtaseme otsustusdiagrammeReinsalu, Uljana2013 https://www.ester.ee/record=b2963595*est A fault-resistant architecture for AES S-box architectureTaheri, Mahdi; Sheikhpour, Saeideh; Ansari, Mohammad Saeed; Mahani, AliJournal of Applied Research in Electrical Engineering2021 / p. 86-92 https://doi.org/10.22055/jaree.2021.36230.1020 Four years of System-on-Chip curriculaKruus, Margus; Ellervee, PeeterEWME 2006 proceedings : 6th International Workshop on Microelectronics Education : 8-9 June, 2006, Stockholm, Sweden2006 / p. 88-91 FPGA-based fault emulation of synchronous sequential circuitsEllervee, Peeter; Raik, Jaan; Tammemäe, Kalle; Ubar, Raimund-JohannesIET computers and digital techniques2007 / 2, p. 70-76 : ill https://ieeexplore.ieee.org/abstract/document/1423822 From RTL liveness assertions to cost-effective hardware checkersHariharan, Ranganathan; Ghasempouri, Tara; Niazmand, Behrad; Raik, JaanXXXIII Conference on Design of Circuits and Integrated Systems (DCIS) : proceedings2018 / 6 p. : ill https://doi.org/10.1109/DCIS.2018.8681487 Gait analysis based approach for Parkinson's disease modeling with decision tree classifiersKrajuškina, Anna; Nõmm, Sven; Toomela, Aaro2018 IEEE International Conference on Systems, Man, and Cybernetics : SMC 2018, 7–10 October 2018 Miyazaki, Japan2018 / p. 3720-3725 : ill https://doi.org/10.1109/SMC.2018.00630 Gert Jervan: TalTechi uurimisrühma tulemusel on Eesti kui digiriigi eestvedaja paremini kaitstudKald, Indrekituudised.ee2023 Gert Jervan: TalTechi uurimisrühma tulemusel on Eesti kui digiriigi eestvedaja paremini kaitstud Google'i klastri arhitektuurSullin, AntiA & A2006 / 6, lk. 9-17 https://artiklid.elnet.ee/record=b1019894*est Hardware and software for expert and predictive estimations of ecological loadsShyshkin, A.I.; Zhukov, K.G.; Svytin, V.P.Theses of the reports of the VIII Symposium Concerning the Problems of Waterbodies Water Quality, Tallinn, Oct. 23-25, 19901990 / p. 71-72 Hardware implementation of face recognition using low precision representationDwivedi, Sai Kumar; Azad, Siavoosh Payandeh; Ellervee, Peeter; Dash, RatnakarBEC 2016 : 2016 15th Biennial Baltic Electronics Conference : proceedings of the 15th Biennial Baltic Electronics Conference : Tallinn University of Technology, October 3-5, 2016, Tallinn, Estonia2016 / p. 63-66 : ill http://www.ester.ee/record=b2150914*est Hardware implementation of recursive sorting algorithms using tree-like structures and HFSM models = Rekursiivsete sortimisalgoritmide riistvaraline realiseerimine kasutades puulaadseid struktuure ja HFSM mudeleidMihhailov, Dmitri2011 https://www.ester.ee/record=b2748823*est Hardware in EstoniaKilvits, KaarelIndustrial restructuring and economic recovery in the Baltic Countries - Lithuania, Latvia, Estonia : infrastructure policies for sustained growth in the Baltic Countries : final report1998 / p. 650-665 Hardware modeling for design verification and debug = Riistvara modelleerimine disaini verifitseerimise ja silumise jaoksTšepurov, Anton2013 https://www.ester.ee/record=b2963501*est Hardware obfuscation of digital FIR filtersAksoy, Levent; Hepp, Alexander; Baehr, Johanna; Pagliarini, Samuel Nascimento2022 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS) : Prague, Czech Republic : April 6-8, 2022 : proceedings2022 / p. 68-73 https://doi.org/10.48550/arXiv.2202.10022 https://doi.org/10.1109/DDECS54261.2022.9770141 Hardware realization of lattice-based post-quantum cryptography = Võrel põhinev post-kvant-krüptograafia riistvaraline realisatsioonImran, Malik2023 https://www.ester.ee/record=b5571216*est https://doi.org/10.23658/taltech.33/2023 https://digikogu.taltech.ee/et/Item/75aeb070-cb8b-4511-beaf-cbea3fca147d https://www.ester.ee/record=b5571216*est Hardware trojan insertion in finalized layouts : from methodology to a silicon demonstrationPerez, Tiago Diadami; Pagliarini, Samuel NascimentoIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems2023 / p. 2094-2107 https://doi.org/10.1109/TCAD.2022.3223846 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Hardware Trojans for confidence reduction and misclassifications on neural networksGrailoo, Mahdieh; Leier, Mairo; Pagliarini, Samuel NascimentoProceedings Of The Twenty Third International Symposium On Quality Electronic Design (ISQED 2022)2022 / art. 180541, p. 230-235 https://doi.org/10.1109/ISQED54688.2022.9806246 Hardware/Software co-design in practice : MEMOCODE'08 contest experienceReinsalu, Uljana; Devadze, Sergei; Jutman, Artur; Tšertov, Anton; Ellervee, PeeterInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK kolmanda aastakonverentsi artiklite kogumik : 25.-26. aprill 2008, Voore külalistemaja2008 / p. 55-58 : ill Hardware-based systems for partial sorting of streaming dataRjabov, ArtjomBEC 2016 : 2016 15th Biennial Baltic Electronics Conference : proceedings of the 15th Biennial Baltic Electronics Conference : Tallinn University of Technology, October 3-5, 2016, Tallinn, Estonia2016 / p. 59-62 : ill http://www.ester.ee/record=b2150914*est HDL-s for students with different backgroundReinsalu, Uljana; Arhipov, Anton; Evartson, Teet; Ellervee, PeeterProceedings MSE 2007 : 2007 IEEE International Conference on Microelectronic Systems Education : 3-4 June 2007, San Diego, CA2007 / p. 69-70 https://ieeexplore.ieee.org/document/4231454 High-level fault diagnosis in RISC processors with Implementation-Independent Functional TestOyeniran, Adeboye Stephen; Jenihhin, Maksim; Raik, Jaan; Ubar, Raimund-Johannes2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI) : Nicosia, Cyprus : 04-06 July 20222022 / p. 32-37 https://doi.org/10.1109/ISVLSI54635.2022.00019 High-level intellectual property obfuscation via decoy constantsAksoy, Levent; Nguyen, Quang-Linh; Almeida, Felipe; Raik, Jaan; Flottes, Marie-Lise; Dupuis, Sophie; Pagliarini, Samuel Nascimento2021 IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS) : Torino, Italy, 28-30 June 20212021 / p. 1-7 https://doi.org/10.1109/IOLTS52814.2021.9486714 High-speed design of postquantum cryptography with optimized hashing and multiplicationImran, Malik; Aikata, Aikata; Roy, Sujoy Sinha; Pagliarini, Samuel NascimentoIEEE Transactions on Circuits and Systems II : Express Briefs2023 / p. 847-851 : ill https://doi.org//10.1109/TCSII.2023.3273821 Hybrid protection of digital FIR filtersAksoy, Levent; Nguyen, Quang-Linh; Almeida, Felipe; Raik, Jaan; Flottes, Marie-Lise; Dupuis, Sophie; Pagliarini, Samuel NascimentoIEEE transactions on Very Large Scale Integration (VLSI) Systems2023 / p. 812-825 : ill https://doi.org/10.1109/TVLSI.2023.3253641 Journal metrics at Scopus IBM kinkis TTÜle tarkvara, Coca-Cola serveriMente et Manu2005 / lk. 4 https://www.ester.ee/record=b1242496*est IMMizer : an innovative cost-effective method for minimizing assertion setsHeidari Iman, Mohammad Reza; Raik, Jaan; Jervan, Gert; Ghasempouri, TaraProceedings - 2022 25th Euromicro Conference on Digital System Design, DSD 20222022 / p. 671 - 678 https://doi.org/10.1109/DSD57027.2022.00095 Article at Scopus Article at WOS Impact of orientation on the bias of SRAM-based PUFsAbideen, Zain Ul; Wang, Rui; Perez, Tiago Diadami; Schrijen, Geert-Jan; Pagliarini, Samuel NascimentoIEEE design & test2023 / 1 p https://doi.org/10.1109/MDAT.2023.3322621 Implementation-independent test generation for a large class of faults in RISC processor modulesJenihhin, Maksim; Oyeniran, Adeboye Stephen; Raik, Jaan; Ubar, Raimund-Johannes24th Euromicro Conference on Digital System Design (DSD)2021 https://doi.org/10.1109/DSD53832.2021.00090 An improved implementation of shift displacement method on hardware —comprehensive evaluation of emerging bi-pedal techniquesAbideen, Zain Ul; Tariq, Hassan; Hafeez, Muhammad Asfand; Subhani, Zahid Mehmood2020 4th International Conference on Automation, Control and Robots : Rome, Italy, 11-13 October 20202020 / p. 7-12 : ill https://doi.org/10.1109/ICACR51161.2020.9265496 Infotehnoloogia. Sõnastik. Osa 3, AparatuuritehnikaHanson, Vello; Agur, Ustus; Kalja, Ahto; Võhandu, Leo1999 https://www.ester.ee/record=b1708557*est Infotehnoloogia. Sõnastik. Osa 11, TöötlusseadmedHanson, Vello; Agur, Ustus; Kalja, Ahto; Võhandu, Leo1999 https://www.ester.ee/record=b1211017*est Jini tehnoloogiastKüngas, PeepArvutimaailm2000 / 8, lk. 45 https://artiklid.elnet.ee/record=b1004987*est Kaks uut unikaalset TalTechi magistrikava hakkavad valmistama ette tippspetsialiste strateegiliselt tähtsale IKT valdkonnaledigi.geenius.ee2023 Kaks uut unikaalset TalTechi magistrikava hakkavad valmistama ette tippspetsialiste strateegiliselt tähtsale IKT valdkonnale Kontekstilülitus tark- ja riistvarasTammemäe, KalleA & A2002 / 3, lk. 14-21 : ill Kuidas osta personaalarvutit? : ostujuhiseidLaiatarbe tarkvarapaketid personaalarvutitele. Elementaarkasutusõpetus ja personaalse info korraldajad1989 / lk. 92-101 https://www.ester.ee/record=b1294951*est Latest trends in hardware security and privacyDi Natale, Giorgio; Regazzoni, Francesco; Albanese, Vincent; Lhermet, Frank; Loisel, Yann; Sensaoui, Abderrahmane; Pagliarini, Samuel Nascimento33rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) : ESA-ESRIN, Italy (On-line Virtual Event),October 19–21, 20202020 / 4 p. : ill https://doi.org/10.1109/DFT50435.2020.9250816 Leveraging FPGA Reconfigurability as an Obfuscation Asset = FPGA ümberkonfigureeritavuse rakendamine hägustamise vahendinaAbideen, Zain Ul2024 https://digikogu.taltech.ee/et/Item/660d923b-44d2-4993-898f-324ab2088199 https://www.ester.ee/record=b5649944*est https://doi.org/10.23658/taltech.1/2024 Leveraging layout-based effects for locking analog ICsAljafar, Muayad J.; Azais, Florence; Flottes, Marie-Lise; Pagliarini, Samuel NascimentoASHES'22: Proceedings of the 2022 Workshop on Attacks and Solutions in Hardware Security2022 / p. 5-13 https://doi.org/10.1145/3560834.3563826 Low-cost open-source flow velocity sensor for droplet generatorsPrabatama, Nicky Andre; Jõemaa, Rauno; Hegedus, Kristof; Pardy, Tamas2022 18th Biennial Baltic Electronics Conference (BEC)2022 / 4 l. https://doi.org/10.1109/BEC56180.2022.9935606 Machine learning clustering techniques for selective mitigation of critical design featuresLange, Thomas; Balakrishnan, Aneesh; Glorieux, Maximilien; Alexandrescu, Dan; Sterpone, LucaProceedings : 2020 26th IEEE International Symposium on On-Line Testing and Robust System Design : IOLTS 2020, Napoli, Italy, July 13-16, 2020 : virtual edition2020 / 7 p. : ill https://doi.org/10.1109/IOLTS50870.2020.9159751 Mapping the types of modularity in open-source hardwareGavras, Kosmas; Kostakis, VasileiosDesign Science2021 / e13 https://doi.org/10.1017/dsj.2021.11 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Microprocessors and microsystems : MICPRO : embedded hardware design2010 Mikrokontrollerite M68HC11 ja Satt Con 05 riist- ja tarkvara : metoodiline materjalTammemäe, Kalle; Toomsalu, Arvo1995 https://www.ester.ee/record=b1069449*est Mikrokontrollerite riistvara turbestToomsalu, ArvoArvutustehnika ja Andmetöötlus1997 / 7/8, lk. 7-12 Miniatuurne märgvara [Võrguteavik]Tammemäe, KalleArvutimaailm2018 / 14 p https://www.am.ee/margvara Model and Data Engineering : 10th international conference, MEDI 2021, Tallinn, Estonia, June 21–23, 2021 : proceedings2021 https://doi.org/10.1007/978-3-030-78428-7 Model synthesis from VHDL for the functional test generation systemKrupnova, Helena1993 https://www.ester.ee/record=b2090509*est Moore'i seadus ja tehnoloogia arengToomsalu, ArvoArvutustehnika ja Andmetöötlus1997 / 9, lk. 3-10 Multimeedia ülekanne piiratud ressursside puhulKulmar, MarikaTelekommunikatsioon 2002 : IX rahvusvahelise telekommunikatsioonipäeva materjalid2002 / lk. 36-45 : ill Multimeediumisüsteemide riistvaraToomsalu, ArvoArvutustehnika ja Andmetöötlus1997 / 10, lk. 7-16 Mutation-based verification and error correction in high-level designs = Mutatsioonidel põhinev verifitseerimine ja vigade parandamine kõrgtaseme skeemidesHantson, Hanno2015 Mutations for testing hardware and correcting design errorsHantson, HannoInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK viienda aastakonverentsi artiklite kogumik : 25.-26. novembril 2011, Nelijärve2011 / p. 105-108 : ill NATO kursus riist- ja tarkvara koosdisainistTammemäe, KalleArvutustehnika ja Andmetöötlus1995 / 9, lk. 23-24; 10, lk. 2-8; 11, lk. 2-7; 12, lk. 8-15 Novel architectures for contractive autoencoders with embedded learningKerner, Madis; Tammemäe, Kalle; Raik, Jaan; Hollstein, Thomas2020 17th Biennial Baltic electronics conference, Tallinn, Estonia, October 6-8, 2020 : proceedings2020 / 6 p. : ill https://doi.org/10.1109/BEC49624.2020.9277246 Novel Neural Network accelerator architectures for FPGAs = Uudsed närvivõrkude kiirendite arhitektuurid FPGAdeleKerner, Madis2024 https://www.ester.ee/record=b5675484*est https://digikogu.taltech.ee/et/Item/3568fe35-19c3-43e6-9525-73c79371ab13 https://doi.org/10.23658/taltech.16/2024 Ontology design for automatic evaluation of web user interface usability [Electronic resource]Robal, Tarmo; Marenkov, Jevgeni; Kalja, AhtoPICMET '17 : Portland International Conference on Management of Engineering and Technology : Technology Management for the Interconnected World : proceedings2017 / p. 1-8 : ill. [USB] https://doi.org/10.23919/PICMET.2017.8125425 Open source hardware cost-effective imaging sensors for high-throughput droplet microfluidic systemsPärnamets, Kaiser; Koel, Ants; Pardy, Tamas; Rang, ToomasProceedings of 26th International Conference : ELECTRONICS 20222022 / 6 p https://doi.org/10.1109/IEEECONF55059.2022.9810383 An open surface drifter for river flow field characterizationFuentes-Pérez, Juan Francisco; Sanz-Ronda, Francisco Javier; Tuhtan, Jeffrey AndrewSensors2022 / art. nr. 9918 https://doi.org/10.3390/s22249918 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Open-source JTAG simulator bundle for labsShibin, Konstantin; Devadze, Sergei; Rosin, Vjatšeslav; Jutman, Artur; Ubar, Raimund-JohannesInternational journal of electronics and telecommunications2012 / p. 233-239 : ill https://journals.pan.pl/Content/87192/PDF/32.pdf An overview of FPGA-inspired obfuscation techniquesAbideen, Zain Ul; Gokulanathan, Sumathi; Aljafar, Muayad J.; Pagliarini, Samuel NascimentoarXiv.org2023 / 30 p. : ill https://doi.org/10.48550/arXiv.2305.15999 Parallel pseudo-exhaustive testing of array multipliers with data-controlled segmentationOyeniran, Adeboye Stephen; Azad, Siavoosh Payandeh; Ubar, Raimund-Johannes2018 IEEE International Symposium on Circuits and Systems (ISCAS) : 27-30 May 2018, Florence, Italy : proceedings2018 / 5 p.: ill https://doi.org/10.1109/ISCAS.2018.8350936 Conference proceedings at Scopus Article at Scopus Article at WOS PASCAL : timing SCA resistant design and verification flowLai, Xinhui; Jenihhin, Maksim; Raik, Jaan; Paul, Kolin2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS 2019) : 1-3 July 2019, Greece2019 / p. 239-242 : ill https://doi.org/10.1109/IOLTS.2019.8854458 Performance evaluation for FPGA-based processing of tree-like structuresSklyarov, Valery; Skliarova, Iouliia; Mihhailov, Dmitri; Sudnitsõn, Aleksander19th IEEE International Conference on Electronics, Circuits, and Systems (IEEE ICECS), Sevilla, Spain, December 9-12, 20122012 / p. 217-220 : ill https://ieeexplore.ieee.org/document/6463762 A pragmatic methodology for blind hardware trojan insertion in finalized layoutsHepp, Alexander; Perez, Tiago Diadami; Pagliarini, Samuel Nascimento; Sigl, GeorgICCAD '22: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design2022 / art. 69, p. 1-9 : ill https://doi.org/10.1145/3508352.3549452 Conference Proceedings at Scopus Article at Scopus Article at WOS PrefaceBellatreche, Ladjel; Chernishev, George; Corral, Antonio; Ouchani, Samir; Vain, JüriAdvances in Model and Data Engineering in the Digitalization Era : MEDI 2021 International Workshops : DETECT, SIAS, CSMML, BIOC, HEDA, Tallinn, Estonia, June 21–23, 2021 : proceedings2021 / p. v-vii https://link.springer.com/content/pdf/bfm:978-3-030-87657-9/1?pdf=chapter%20toc Article collection metrics at Scopus Article at Scopus PrefaceAttiogbe, Christian; Ben Yahia, SadokModel and Data Engineering : 10th International Conference, MEDI 2021, Tallinn, Estonia, June 21–23, 2021 : proceedings2021 / p. v-vi https://doi.org/10.1007/978-3-030-78428-7 Conference proceeding at Scopus Article at Scopus Ransomware attack as Hardware Trojan : a feasibility and demonstration studyAlmeida, Felipe; Imran, Malik; Raik, Jaan; Pagliarini, Samuel NascimentoIEEE Access2022 / p. 44827-44839 https://doi.org/10.1109/ACCESS.2022.3168991 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Rejuvenation of nanoscale logic at NBTI-critical paths using evolutionary TPGPalermo, N.; Tihhomirov, Valentin; Copetti, Thiago; Jenihhin, Maksim; Raik, Jaan; Kostin, Sergei2015 16th Latin American Test Symposium (LATS 2015) : Puerto Vallarta, Mexico, 25-27 March 20152015 / [6] p. : ill http://dx.doi.org/10.1109/LATW.2015.7102405 Replication-based deterministic testing of 2-dimensional arrays with highly interrelated cellsAzad, Siavoosh Payandeh; Oyeniran, Adeboye Stephen; Ubar, Raimund-Johannes21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems : DDECS 2018 : Budapest, Hungary 25-27 April, 2018 : proceedings2018 / p. 21-26 : ill https://doi.org/10.1109/DDECS.2018.00011 A rescue demonstrator for interdependent aspects of reliability, security and quality towards a complete EDA flowRaik, Jaan; Jenihhin, MaksimProceedings of the 2020 Design, Automation & Test in Europe Conference &Exhibition (DATE 2020), 9 to 13 March, 2020, Grenoble, France2020 / p. 58 https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=9116424 Resynthesis-based attacks against logic lockingAlmeida, Felipe; Aksoy, Levent; Nguyen, Quang-Linh; Dupuis, Sophie; Flottes, Marie-Lise; Pagliarini, Samuel Nascimento2023 24th International Symposium on Quality Electronic Design (ISQED) : San Francisco, 5-7 April 20232023 / 8 p. : ill https://doi.org/10.1109/ISQED57927.2023.10129403 Article at Scopus Article at WOS Reusing verification assertions as security checkers for Hardware Trojan detectionEslami, Mohammad; Ghasempouri, Tara; Pagliarini, Samuel Nascimento2022 23rd International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA : 06-07 April 20222022 / p. 1-6 : ill https://doi.org/10.1109/ISQED54688.2022.9806292 Riist- ja tarkvara hackathon Tehnohack tuleb taas!Inseneeria2016 / lk. [56] http://www.ester.ee/record=b2336521*est Riist- ja tarkvara koosdisain ja -simuleerimineTammemäe, KalleArvutustehnika ja Andmetöötlus1997 / 2, lk. 1-3; 3, lk. 1-6; 4, lk. 1-4; 5, lk. 1-6: ill Riistvara kirjeldamise keel VHDLTammemäe, KalleArvutustehnika ja Andmetöötlus1992 / 4, lk. 1-11: ill Riistvara kirjeldamiskeel - VHDL : metoodiline materjal1992 https://www.ester.ee/record=b1062926*est Riistvara kirjeldamiskeel - VHDL : metoodiline materjalTammemäe, Kalle2003 http://www.ester.ee/record=b1605950*est Riistvara kirjeldamiskeel VHDL : metoodiline materjalTammemäe, Kalle2002 http://www.ester.ee/record=b1605950*est Riistvara kirjelduskeel VerilogEllervee, PeeterA & A1998 / 1, lk. 6-10 Riistvara kompileerimineTammemäe, KalleA & A1998 / 5, lk. 11-15: ill Riistvara suhtlemiseksJaanus, MartinPraktiline Arvutikasutaja2006 / 6, lk. 35-37 : ill https://artiklid.elnet.ee/record=b1054613*est Riistvara Trooja hobuste rünnak võib ohustada nii koduarvuti kasutajat kui ka riigi digitaalset julgeolekut [Võrguväljaanne]arileht.delfi.ee2022 Riistvara Trooja hobuste rünnak võib ohustada nii koduarvuti kasutajat kui ka riigi digitaalset julgeolekut A security-aware and LUT-based CAD flow for the physical synthesis of hASICsAbideen, Zain Ul; Perez, Tiago Diadami; Martins, Mayler; Pagliarini, Samuel NascimentoIEEE transactions on computer-aided design of integrated circuits and systems2023 / p. 3157-3170 : ill https://doi.org/10.1109/TCAD.2023.3244879 Security-aware physical synthesis of integrated circuits = Integraallülituste turvateadlik füüsiline sünteesPerez, Tiago Diadami2023 https://doi.org/10.23658/taltech.4/2023 https://digikogu.taltech.ee/et/Item/440f41fd-0950-4b5c-8e47-4f75a754cdae https://www.ester.ee/record=b5536743*est A side-channel hardware trojan in 65nm CMOS with 2μW precision and multi-bit leakage capabilityPerez, Tiago Diadami; Pagliarini, Samuel Nascimento2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC) : 17-20 January 2022 : Taipei, Taiwan2022 / p. 9-10 : ill https://doi.org/10.1109/ASP-DAC52403.2022.9712490 Side-channel Trojan insertion - a practical foundry-side attack via ECOPerez, Tiago Diadami; Imran, Malik; Vaz, Pablo; Pagliarini, Samuel Nascimento2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea, May 22-28, 2021 : proceedings2021 / 5 p. : ill https://doi.org/10.1109/ISCAS51556.2021.9401481 Conference Proceedings at Scopus Article at Scopus Article at WOS Simulation-based hardware verification with high-level decision diagrams = Simuleerimisel põhinev riistvara verifitseerimine kõrgtaseme otsustusdiagrammidelJenihhin, Maksim2008 https://www.ester.ee/record=b2431332*est Software parser and analyser for hardware performance estimationsRuberg, Priit; Meinberg, Erki; Ellervee, Peeter2022 International Conference on Electrical, Computer and Energy Technologies (ICECET), Prague, Czech Republic, 20-22 July 20222022 / p. 1-6 https://doi.org/10.1109/ICECET55527.2022.9872951 Software-based mitigation for memory address decoder agingKraak, D. H. P.; Gürsoy, Cemil Cem; Jenihhin, Maksim; Raik, JaanLATS 2019 : 20th IEEE Latin American Test Symposium : Santiago, Chile, March 11th - 13th 20192019 / 6 p. : ill https://doi.org/10.1109/LATW.2019.8704595 Solving computationally intensive problems in reconfigurable hardware : a case studySkliarova, Iouliia; Vallejo, Tiago; Sklyarov, Valery; Sudnitsõn, Aleksander; Kruus, MargusJournal of convergence information technology (JCIT) : an international journal2013 / p. 601-609 : ill A survey on split manufacturing : attacks, defenses, and challengesPerez, Tiago Diadami; Pagliarini, Samuel NascimentoIEEE Access2020 / p. 184013-184035 https://doi.org/10.1109/ACCESS.2020.3029339 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Survey on the benefits of using memristors for PUFsAljafar, Muayad J.; Acken, John M.International Journal of Parallel, Emergent and Distributed Systems2022 / p. 40-67 https://doi.org/10.1080/17445760.2021.1972295 A survey on UAV computing platforms : a hardware reliability perspectiveAhmed, Foisal; Jenihhin, MaksimSensors2022 / art. 6286 https://doi.org/10.3390/s22166286 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS A systematic study of lattice-based NIST PQC algorithms : from reference implementations to hardware acceleratorsImran, Malik; Abideen, Zain Ul; Pagliarini, Samuel NascimentoarXiv.org2020 / 36 p. : ill zamiaCAD : understand, develop and debug hardware designsJenihhin, Maksim; Tihhomirov, Valentin; Saif Abrar, Syed; Raik, Jaan; Bartsch, GünterDUHDe : 1st Workshop on Design Automation for Understanding Hardware Designs : March 28, 2014 : Friday Workshop at DATE 2014, Dresden, Germany2014 / p. 1-6 TalTechi riistvara turvalisuse keskuse doktorandid saavutasid rahvusvahelisel konkurentsitihedal võistlusel kolmanda koha [Võrguväljaanne]digi.geenius.ee2022 "TalTechi riistvara turvalisuse keskuse doktorandid saavutasid rahvusvahelisel konkurentsitihedal võistlusel kolmanda koha" TalTechi uus magistrikava avab lõpetajatele uksed looma uut nutikat maailma tarkadest majadest rohepöördeniarileht.delfi.ee2023 TalTechi uus magistrikava avab lõpetajatele uksed looma uut nutikat maailma tarkadest majadest rohepöördeni Tehnohack 2016 : ideid jagus plaastrilaadsest tootest bumeranginiInseneeria2016 / lk. [42]-43 : fot http://www.ester.ee/record=b2336521*est TehnoHack 2017 : pissiandurist nullgravitatsiooniniMühlbach, MadleMente et Manu2017 / lk. 54-55 : fot http://www.ester.ee/record=b1242496*est Terminali riist- ja tarkvarakompleksPalmas, M.; Niinsalu, UdoXXXII üliõpilaste teaduslik-tehnilise konverentsi ettekannete teesid : pühendatud V. I. Lenini 110. sünniaastapäevale : 16.-18. aprill 19801981 / lk. 96 https://www.ester.ee/record=b1322611*est A 3-D crossbar architecture for both pipeline and parallel computationsAljafar, Muayad J.; Acken, John M.IEEE Transactions on Circuits and Systems I : regular papers2021 / p. 4456-4469 https://doi.org/10.1109/TCSI.2021.3108564 https://pdxscholar.library.pdx.edu/cgi/viewcontent.cgi?article=1680&context=ece_fac Toimiva digiühiskonna tagavad usaldusväärne tarkvara, turvaline riistvara ning energiasäästlikud ja nutikad asjadHärmat, KarinMente et Manu2022 / lk. 32-33 https://www.ester.ee/record=b1242496*est Towards multidimensional verification : where functional meets non-functionalJenihhin, Maksim; Lai, Xinhui; Ghasempouri, Tara; Raik, Jaan2018 IEEE Nordic Circuits and Systems Conference (NORCAS) : NORCHIP and International Symposium of System-on-Chip (SoC) : 30-31 October 2018, Tallinn, Estonia : proceedings in IEEE Xplore2018 / 7 p. : ill https://doi.org/10.1109/NORCHIP.2018.8573495 Trainer 1149 : a boundary scan simulation bundle with hardware support for labsShibin, Konstantin; Jutman, ArturInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK viienda aastakonverentsi artiklite kogumik : 25.-26. novembril 2011, Nelijärve2011 / p. 135-138 : ill Trainer 1149: a boundary scan simulation bundle for labsJutman, Artur; Ubar, Raimund-Johannes; Devadze, Sergei; Shibin, Konstantin; Rosin, VjatšeslavMIXDES 2011 : 18th International Conference "Mixed Design of Integrated Circuits and Systems" : June 16-18, 2011, Gliwice, Poland2011 / p. 520-525 Translating behavioral VHDL for emulationEllervee, Peeter; Reinsalu, Uljana; Arhipov, Anton25th IEEE NORCHIP Conference : Aalborg, Denmark, 19-20 November 20072007 / ? p https://ieeexplore.ieee.org/document/4481073 Triple fixed-point MAC unit for deep learningKerner, Madis; Tammemäe, Kalle; Raik, Jaan; Hollstein, ThomasProceedings of the 2021 Design, Automation & Test in Europe (DATE 2021), 1-5 February 2021 : Virtual Conference2021 / p. 1404-1407 https://doi.org/10.23919/DATE51398.2021.9474020 Turning JTAG inside out for fast extended test accessDevadze, Sergei; Jutman, Artur; Aleksejev, Igor; Ubar, Raimund-Johannes10th IEEE Latin American Test Workshop : 2-5 March 2009, Brazil2009 / [6] p. : ill https://ieeexplore.ieee.org/document/4813799 Understanding multidimensional verification : where functional meets non-functionalLai, Xinhui; Balakrishnan, Aneesh; Lange, Thomas; Jenihhin, Maksim; Ghasempouri, Tara; Raik, Jaan; Alexandrescu, DanMicroprocessors and microsystems2019 / art. 102867, 13 p. : ill https://doi.org/10.1016/j.micpro.2019.102867 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS UPS arvuti elektritoitesüsteemisToomsalu, ArvoArvutimaailm1998 / 6, lk. 18-22, 63: ill https://artiklid.elnet.ee/record=b2003508*est Using simulation statistics for bug localization in RTL designsTihhomirov, Valentin; Jenihhin, Maksim; Raik, JaanInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK seitsmenda aastakonverentsi artiklite kogumik : 15.-16. novembril 2013, Haapsalu2013 / p. 107-110 : ill Using soft-core processors and FPGA development boards for hardware emulationArhipov, Anton; Ellervee, PeeterInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK teise aastakonverentsi artiklite kogumik : 11.-12. mai 2007, Viinistu kunstimuuseum2007 / lk. 155-158 : ill Using Tabu Search for optimization of memory-constrained hybrid BISTKruus, Helena; Jervan, Gert; Ubar, Raimund-JohannesInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK kolmanda aastakonverentsi artiklite kogumik : 25.-26. aprill 2008, Voore külalistemaja2008 / p. 85-88 : ill Uus magistrikava avab lõpetajatele uksed nutikasse maailma tarkadest majadest rohepöördenipostimees.ee2023 Uus magistrikava avab lõpetajatele uksed nutikasse maailma tarkadest majadest rohepöördeni TalTech avab elektroonikainseneridele suunatud magistrikava Vaba mees ratastoolisKikkas, KaidoArvutimaailm1997 / 1, lk. 44-46 https://artiklid.elnet.ee/record=b2002891*est VEDA - moVE DAta to balance the grid : research directions and recommendations for exploiting data centers flexibility within the power systemZiagham Ahwazi, Amin; Bordin, Chiara; Mishra, Sambeet; Ha, Phuong H.; Horsch, AlexanderICSCC '21: Proceedings of the 2021 6th International Conference on Systems, Control and Communications2021 / p. 13-18 https://doi.org/10.1145/3510362.3510365 Conference Proceedings at Scopus Article at Scopus Virtual academy platform supported by a semantic knowledge baseSeiler, Sven; Sell, RaivoProceedings of the 7th International Conference of DAAAM Baltic Industrial Engineering : 22-24th April 2010, Tallinn, Estonia. [II]2010 / p. 566-571 : ill Võitlus kiipides varitsevate troojalastega tõstab Eesti teadlased kilbileHärmat, Karinerr.ee2023 Võitlus kiipides varitsevate troojalastega tõstab Eesti teadlased kilbile Методическое пособие к лабораторным работам и курсовому проектированию по дисциплине "Схемотехника ЭВМ"1987 https://www.ester.ee/record=b1354263*est