A system for teaching basic and advanced topics of IEEE 1149.1 boundary scan standard (extended abstract)Jutman, Artur; Rosin, Vjatšeslav; Sudnitsõn, Aleksander; Ubar, Raimund-Johannes; Wuttke, Heinz-DietrichProceedings of 16th EAEEIE Conference on Innovation in Education for Electrical and Information Engineering (EIE) : Lappeenranta, Finland, 6th-8th June 20052005 / [2] p. : ill Address-based data processing over N-ary treesSklyarov, Valery; Skliarova, Iouliia; Kruus, Margus; Mihhailov, Dmitri; Sudnitsõn, AleksanderEuroCon 2013 : 01-04 July 2013, Zagreb, Croatia2013 / p. 1790-1797 : ill An external test approach for network-on-a-chip switchesRaik, Jaan; Govind, Vineeth; Ubar, Raimund-Johannes2002-2011 : 20th Anniversary compendium of papers from Asian Test Symposium2011 / p. 185-190 : ill An external test approach for network-on-a-chip switchesRaik, Jaan; Govind, Vineeth; Ubar, Raimund-JohannesATS '06 : Proceedings of the 15th Asian Test Symposium : November 20-23, 2006, Fukuoka, Japan2006 / p. 437-442 : ill http://dx.doi.org/10.1109/ATS.2006.23 Analog integrated circuits and signal processingEllervee, Peeter; Jervan, Gert2010 Analysis of a test method for delay faults in NoC interconnectsBengtsson, Tomas; Jutman, Artur; Kumar, Shashi; Ubar, Raimund-Johannes; Peng, ZeboProceedings of the IEEE East-West Design & Test Workshop (EWDTW'06) : Sochi, Russia, September 15-19, 20062006 / p. 42-46 : ill Application specific true critical paths identification in sequential circuitsJürimägi, Lembit; Ubar, Raimund-Johannes; Jenihhin, Maksim; Raik, Jaan; Devadze, Sergei; Oyeniran, Adeboye Stephen2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS 2019) : 1-3 July 2019, Greece2019 / p. 299-304 : ill https://doi.org/10.1109/IOLTS.2019.8854442 APRICOT : a framework for teaching digital systems verificationRaik, Jaan; Jenihhin, Maksim; Tšepurov, Anton; Reinsalu, Uljana; Ubar, Raimund-Johannes19th EAEEIE Annual Conference : June 29-July 2, 2008, Tallinn, Estonia : formal proceedings2008 / p. 172-177 : ill http://dx.doi.org/10.1109/EAEEIE.2008.4610181 Areeba : an area efficient binary huff-curve architectureSajid, Asher; Rashid, Muhammad; Jamal, Sajjad Shaukat; Imran, Malik; Alotaibi, Saud S.; Sinky, Mohammed H.Electronics (Switzerland)2021 / art. 1490 https://doi.org/10.3390/electronics10121490 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Arvuti nööpaugusAgur, UstusHorisont1976 / lk. 12-15 : ill https://www.ester.ee/record=b1072243*est http://www.digar.ee/id/nlib-digar:291330 At-speed self-testing of high-performance pipe-lined processing architectures [Electronic resource]Gorev, Maksim; Ubar, Raimund-Johannes; Ellervee, Peeter; Devadze, Sergei; Raik, Jaan; Min, Mart31st Norchip Conference : Vilnius, Lithuania, 11-12 November 2013 : conference program and papers2013 / p. 1-6 : ill [USB] Automated design error debug using high-level decision diagrams and mutation operatorsRaik, Jaan; Repinski, Urmas; Tšepurov, Anton; Hantson, Hanno; Ubar, Raimund-Johannes; Jenihhin, MaksimMicroprocessors and microsystems2013 / p. 505-513 : ill Automated design error localization in RTL designsJenihhin, Maksim; Tšepurov, Anton; Tihhomirov, Valentin; Raik, Jaan; Hantson, Hanno; Ubar, Raimund-Johannes; Bartsch, Günter; Meza Escobar, Jorge Hernan; Wuttke, Heinz-DietrichIEEE design & test of computers2014 / p. 83-92 : ill http://dx.doi.org/10.1109/MDAT.2013.2271420 Automated identification of application-dependent safe faults in automotive systems-on-a-chipsBagbaba, Ahmet Cagri; Augusto da Silva, Felipe; Sonza Reorda, Matteo; Hamdioui, Said; Jenihhin, Maksim; Sauer, ChristianElectronics2022 / art. 319 https://doi.org/10.3390/electronics11030319 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Automatic SoC level test path synthesis based on partial functional modelsTšertov, Anton; Ubar, Raimund-Johannes; Jutman, Artur; Devadze, Sergei2011 Asian Test Symposium (ATS) : New Delhi, India2011 / p. 532-538 https://ieeexplore.ieee.org/document/6114730 Benchmarking advanced security closure of physical layoutsEslami, Mohammad; Knechtel, Johann; Sinanoglu, Ozgur; Karri, Ramesh; Pagliarini, Samuel NascimentoISPD '23 : proceedings of the 2023 International Symposium on Physical Design2023 / p. 256-264 https://doi.org/10.1145/3569052.3578924 https://dl.acm.org/doi/pdf/10.1145/3569052.3578924 Biotundlikud süsteemid molekulaarselt jäljendatud elektrit juhtivatest polümeeridestÖpik, Andres; Reut, Jekaterina; Sõritski, Vitali; Tretjakov, AlekseiTallinna Tehnikaülikooli aastaraamat 20122013 / lk. 40-44 : ill BIST analyzer : a training platform for SoC testing [Electronic resource]Jutman, Artur; Tšertov, Anton; Tšepurov, Anton; Aleksejev, Igor; Ubar, Raimund-Johannes; Wuttke, Heinz-Dietrich37th Annual Frontiers in Education Conference : Global Engineering : Knowledge Without Borders, Opportunities Without Passports : Milwaukee, Wisconsin, October 10-13, 20072007 / p. S3H-8-S3H-13 : ill. [CD-ROM] http://dx.doi.org/10.1109/FIE.2007.4418125 Cal-Techist PocketronicuniToomsalu, ArvoA & A2005 / 4, lk. 9-12 Capacitance measurement with MSP430 microcontrollersMärtens, Olev; Pille, Siim; Reidla, MarkoEDERC2014 : proceedings of the 6th European Embedded Design in Education and Research Conference, 11-12 September 2014, Milan, Italy2014 / p. 260-263 : ill Capacitance-to-digital : a single chip detector for capillary electrophoresisDrevinskas, Tomas; Kaljurand, Mihkel; Maruška, AudriusElectrophoresis2014 / p. 2401-2407 : ill Circuit simulation program oriented physical modeling of integrated circuit elementsRang, Toomas; Tarnay, K.; Szekely, V.Periodica polytechnica. Electrical engineering = Электротехника1980 / p. 37-45 https://www.ester.ee/record=b1198855*est Code coverage analysis for concurrent programming languages using high-level decision diagramsJenihhin, Maksim; Raik, Jaan; Tšepurov, Anton; Reinsalu, Uljana; Ubar, Raimund-JohannesProceedings of the 12th European Workshop on Dependable Computing : EWDC 2009 : Toulouse, France, May 14-15, 20092009 / [4] p. : ill https://hal.archives-ouvertes.fr/hal-00381559 Computational kernel extraction for synthesis of power-managed sequential componentsSudnitsõn, AleksanderProceedings of the 9th IEEE International Conference on Electronics, Circuits and Systems : ICECS'2002, Dubrovnik, Croatia2002 / p. 749-752 https://ieeexplore.ieee.org/abstract/document/1046277 Construction of the tests of combinational circuit failures by analyzing the orthogonal disjunctive normal forms represented by the alternative graphsMatrosova, A.Yu.; Pleshkov, A.G.; Ubar, Raimund-JohannesAutomation and remote control2005 / p. 313-327 : ill http://dx.doi.org/10.1007/s10513-005-0054-9 Decision diagrams - from a mathematical notion to engineering applicationsStankovic, Radomir S.; Ubar, Raimund-Johannes; Astola, JaakkoFacta Universitatis [Niš]. Series electronics and energetics2011 / p. 281-301 : ill http://dx.doi.org/10.2298/FUEE1103281S DefSim - the defective ICPleskacz, Witold A.; Jutman, Artur; Ubar, Raimund-Johannes; Devadze, SergeiDATE 2007 : Design Automation and Test in Europe : Nice, France, April 16-20, 20072007 / p. s96 (2 p.) DefSim: CMOS defects on chip for research and educationPleskacz, Witold A.; Borejko, Tomasz; Walkanis, A.; Stopjakova, Viera; Jutman, Artur; Ubar, Raimund-Johannes7th IEEE Latin American Test Workshop LATW'06 : Buenos Aires, Argentina, March 26th-29th, 2006 : proceedings2006 / p. 74-79 : ill DefSim: measurement environment for CMOS defectsBorejko, Tomasz; Jutman, Artur; Pleskacz, Witold A.; Ubar, Raimund-Johannes2006 25th International Conference on Microelectronics : Belgrade, Serbia and Montenegro, 14-17 May 2006 : proceedings. Volume 22006 / p. 679-682 https://ieeexplore.ieee.org/document/1651048 Design and test technology for dependable systems-on-chip2011 https://www.ester.ee/record=b4467408*est Design of a generalized fractional-order PID controller using operational amplifiersGonzalez, Emmanuel A.; Alimisis, Vassilis; Psychalinos, Costas; Tepljakov, Aleksei2018 25th IEEE International Conference on Electronics Circuits and Systems (ICECS), Bordeaux, France, December 9–12, 20182018 / p. 253-256 : ill http://dx.doi.org/10.1109/ICECS.2018.8617954 Design space exploration and optimisation for NoC-based timing sensitive systemsTagel, Mihkel; Ellervee, Peeter; Jervan, GertBEC 2010 : 2010 12th Biennial Baltic Electronics Conference : proceedings of the 12th Biennial Baltic Electronics Conference : Tallinn University of Technology, October 4-6, 2010, Tallinn, Estonia2010 / p. 177-180 : ill Design space exploration and optimisation for NoC-based timing sensitive systemsTagel, Mihkel; Ellervee, Peeter; Jervan, GertInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK neljanda aastakonverentsi artiklite kogumik : 26.-27. novembril 2010, Essu mõis2010 / lk. 117-120 : ill Design-for-destability-based external test and diagnosis of mesh-like network- on-a-chipsRaik, Jaan; Govind, Vineeth; Ubar, Raimund-JohannesIET computers and digital techniques2009 / 5, p. 476-486 : ill http://dx.doi.org/10.1049/iet-cdt.2008.0096 Determined-safe faults identification : a step towards ISO26262 hardware compliant designsAugusto da Silva, Felipe; Bagbaba, Ahmet Cagri; Sartoni, Sandro; Cantoro, Riccardo; Sonza Reorda, Matteo; Hamdioui, Said; Sauer, Christian2020 25th IEEE European Test Symposium (ETS)2020 / 6 p. : ill https://doi.org/10.1109/ETS48528.2020.9131568 Diagnostic modeling of digital systems with low- and high-level decision diagramsUbar, Raimund-JohannesLATW2013 : 14th IEEE Latin-American Test Workshop, Cordoba, Argentina, April 3-5, 2013 : [proceedings]2013 / [1] p Digitaalkiipide projekteerimine ja test : teadus, tehnoloogia või kunstRaik, JaanA & A2005 / lk. 5-9 Discrete gravitational search algorithm for solving finite state machine inference problemSpitšakova, MargaritaInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK viienda aastakonverentsi artiklite kogumik : 25.-26. novembril 2011, Nelijärve2011 / p. 63-66 : ill Droonide lihtsus ja odavus võimaldab neid sõjas massiliselt kasutada [Võrguväljaanne]Klementi, Joakimerr.ee2022 Droonide lihtsus ja odavus võimaldab neid sõjas massiliselt kasutada Eesti teaduse nähtamatud hiiglasedRaik, JaanTeadusmõte Eestis (X). Tehnikateadused. 3 : [artiklikogumik]2019 / lk. 161-168 : ill., fot https://www.ester.ee/record=b5208765*est Elliptic-curve crypto processor for RFID applicationsRashid, Muhammad; Jamal, Sajjad Shaukat; Khan, Sikandar Zulqarnain; Alharbi, Adel R.; Aljaedi, Amer; Imran, MalikApplied Sciences (Switzerland)2021 / art. 7079 https://doi.org/10.3390/app11157079 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Equivalent transformations of structurally synthesized BDDs and applicationsJürimägi, Lembit; Ubar, Raimund-Johannes; Viies, Vladimir2019 8th Mediterranean Conference on Embedded Computing (MECO)2019 / 6 p. : ill https://doi.org/10.1109/MECO.2019.8760283 Error sources in analog ASICs and ways for their minimizationMihhailov, JuriInternational journal of engineering and applied sciences (EAAS)2013 / p. 32-41 : ill Esimene üldkasutatav mikrokontrollerkiip TMS 1000Toomsalu, ArvoA & A2008 / 2, lk. 9-16 : ill European Test Symposium : ETS 2005 : 22-25 May 2005, Tallinn, Estonia : proceedingsCantarella, JD2005 https://www.ester.ee/record=b2300865*est Evaluating architectural, redundancy, and implementation strategies for radiation hardening of FinFET integrated circuitsPagliarini, Samuel Nascimento; Benites, Luis; Martins, Mayler; Rech, Paolo; Kastensmidt, FernandaIEEE transactions on nuclear science2021 / p. 1045-1053 https://doi.org/10.1109/TNS.2021.3070643 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Fault diagnosis in integrated circuits with BISTUbar, Raimund-Johannes; Kostin, Sergei; Raik, Jaan; Evartson, Teet; Lensen, Harri10th Euromicro Conference on Digital System Design Architectures, Methods and Tools, DSD 2007 : 29-31 August 2007, Lübeck, Germany : proceedings2007 / p. 604-610 : ill http://dx.doi.org/10.1109/DSD.2007.4341530 Fault diagnosis in VLSI devicesUbar, Raimund-JohannesProceedings of the Estonian Academy of Sciences. Engineering1995 / 1, p. 51-67 Fault effect reasoning in digital systems by topological view on low- and high-level decision diagramsUbar, Raimund-JohannesВестник Томского государственного университета. Управление, вычислительная техника и информатика2014 / p. 99-113 : ill http://journals.tsu.ru/informatics/&journal_page=archive&id=923&article_id=12107 Fault simulation with parallel critical path tracing for combinational circuits using structurally synthesized BDDsDevadze, Sergei; Raik, Jaan; Jutman, Artur; Ubar, Raimund-Johannes7th IEEE Latin American Test Workshop LATW'06 : Buenos Aires, Argentina, March 26th-29th, 2006 : proceedings2006 / p. 97-102 : ill Foreword to the 12th IEEE DDECS SymposiumPliva, Zdenek; Manhaeve, Hans; Renovell, Michel; Novak, Ondrej; Ubar, Raimund-Johannes; Drabkova, JindraProceedings of the 2009 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems : April 15-17, 2009, Liberec, Czech Republic2009 / p. iii http://dx.doi.org/10.1109/DDECS.2009.5012081 Gate-level modelling of NBTI-induced delays under process variationsCopetti, Thiago; Cardoso Medeiros, Guilherme; Bolzani Poehls, Leticia; Vargas, Fabian; Kostin, Sergei; Jenihhin, Maksim; Raik, Jaan; Ubar, Raimund-JohannesLATS 2016 : 17th IEEE Latin-American Test Symposium, Foz do Iguacu, Brazil, 6th-9th April 20162016 / p. 75-80 : ill http://dx.doi.org/10.1109/LATW.2016.7483343 Hardware realization of lattice-based post-quantum cryptography = Võrel põhinev post-kvant-krüptograafia riistvaraline realisatsioonImran, Malik2023 https://www.ester.ee/record=b5571216*est https://doi.org/10.23658/taltech.33/2023 https://digikogu.taltech.ee/et/Item/75aeb070-cb8b-4511-beaf-cbea3fca147d https://www.ester.ee/record=b5571216*est Hardware/software co-design for programmable systems-on-chipSklyarov, Valery; Skliarova, Iouliia; Silva, João; Rjabov, Artjom; Sudnitsõn, Aleksander; Cardoso, Cláudia2014 http://www.ester.ee/record=b3087107*est High-level decision diagram based fault models for targeting FSMsRaik, Jaan; Ubar, Raimund-Johannes; Viilukas, Taavi9th EUROMICRO Conference on Digital Systems Design : Architectures, Methods and Tools (DSD 2006) : 30 August 2006-1 September 2006, Cavtat near Dubrovnik, Croatia : proceedings2006 / p. 353-358 : ill http://dx.doi.org/10.1109/DSD.2006.60 High-Level Decision Diagram manipulations for code coverage analysisMinakova, Karina; Reinsalu, Uljana; Tšepurov, Anton; Raik, Jaan; Jenihhin, Maksim; Ubar, Raimund-Johannes; Ellervee, PeeterBEC 2008 : 2008 International Biennial Baltic Electronics Conference : proceedings of the 11th Biennial Baltic Electronics Conference : Tallinn University of Technology : October 6-8, 2008, Tallinn, Estonia2008 / p. 207-210 : ill High-level decision diagrams based coverage metrics for verification and testJenihhin, Maksim; Raik, Jaan; Tšepurov, Anton; Reinsalu, Uljana; Ubar, Raimund-JohannesLATW 2009 : 10th IEEE Latin American Test Workshop : Buzios, Rio de Janero, Brazil, March 2-5, 20092009 / [6] p. : ill http://dx.doi.org/10.1109/LATW.2009.4813792 High-level modeling and testing of multiple control faults in digital systemsJasnetski, Artjom; Oyeniran, Adeboye Stephen; Tšertov, Anton; Schölzel, Mario; Ubar, Raimund-JohannesFormal proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) : April 20-22, 2016, Košice, Slovakia2016 / [6] p. : ill http://dx.doi.org/10.1109/DDECS.2016.7482445 High-speed SABER key encapsulation mechanism in 65nm CMOSImran, Malik; Almeida, Felipe; Basso, Andrea; Sinha Roy, Sujoy; Pagliarini, Samuel NascimentoJournal of cryptographic engineering2023 / p. 461-471 : ill https://doi.org/10.1007/s13389-023-00316-2 Hiina võis sanktsioonide kiuste jõuda uue kiibitehnoloogiani [Võrguväljaanne]Einama, KaidoPostimees2022 Hiina võis sanktsioonide kiuste jõuda uue kiibitehnoloogiani How to emulate Network-on-Chip?Ellervee, Peeter; Jervan, GertProceedings of the IEEE East-West Design & Test Workshop (EWDTW'06) : Sochi, Russia, September 15-19, 20062006 / p. 282-286 : ill Hybrid BIST optimization using reseeding and test set compactionJervan, Gert; Orasson, Elmet; Kruus, Helena; Ubar, Raimund-Johannes10th Euromicro Conference on Digital System Design Architectures, Methods and Tools, DSD 2007 : 29-31 August 2007, Lübeck, Germany : proceedings2007 / p. 596-603 : ill http://dx.doi.org/10.1109/DSD.2007.4341529 Hybrid protection of digital FIR filtersAksoy, Levent; Nguyen, Quang-Linh; Almeida, Felipe; Raik, Jaan; Flottes, Marie-Lise; Dupuis, Sophie; Pagliarini, Samuel NascimentoIEEE transactions on Very Large Scale Integration (VLSI) Systems2023 / p. 812-825 : ill https://doi.org/10.1109/TVLSI.2023.3253641 Journal metrics at Scopus I²L új irányrat a bipoláris technikában IRang, ToomasMérés és automatika: megjelenik a Méréstechnikai és Automatizálási Tudományos Egyesület Szerkesztésében1979 / p. 191-195 I²L, új irányrat a bipoláris technikában IIRang, ToomasMérés és automatika: megjelenik a Méréstechnikai és Automatizálási Tudományos Egyesület Szerkesztésében1979 / p. 279-283 IEEE Norchip 2003. a. konverentsEllervee, PeeterA & A2004 / 1, lk. 48-49 https://artiklid.elnet.ee/record=b1015000*est Impact of orientation on the bias of SRAM-based PUFsAbideen, Zain Ul; Wang, Rui; Perez, Tiago Diadami; Schrijen, Geert-Jan; Pagliarini, Samuel NascimentoarXiv.org2023 / 7 p. : ill https://doi.org/10.48550/arXiv.2308.06730 Improving the efficiency of timing simulation in digital circuits by using structurally synthesized BDDsUbar, Raimund-Johannes; Jutman, Artur; Peng, Z.IEEE Norchip Conference2000 / p. 254-261 Informal Digest of Papers : 10 IEEE European Test Symposium : Tallinn, Estonia, May 22-25, 20052005 https://www.ester.ee/record=b2055139*est Integraallülituste hindToomsalu, ArvoA & A2007 / 3, lk. 20-32 : ill Integraallülituste pöördprojekteerimineToomsalu, ArvoA & A1998 / 2, lk. 8-13 Integraalskeemide projekteerimine : metoodiline juhend1988 https://www.ester.ee/record=b1239938*est Integrált áramköri elemek fizikai modellezése aramkölanalizös program segítségévelRang, Toomas; Tarnay, K.; Szekely, V.Híradástechnika = Journal on communications, computers, convergence, contents, companies1980 / p. 322-326 Intel 1103 - esimene DRAM-kiipToomsalu, ArvoA & A2006 / 5, lk. 27-32 https://artiklid.elnet.ee/record=b1019636*est Jaan Raik : müütidest Eesti elektroonikatööstuse väljavaadete ümberRaik, Jaanerr.ee2022 Jaan Raik: müütidest Eesti elektroonikatööstuse väljavaadete ümber Jaan Raik: kiibikriis – kas maailmalõpp või Eesti võimalus? [Võrguväljaanne]postimees.ee2021 "Jaan Raik: kiibikriis – kas maailmalõpp või Eesti võimalus?" Journal of signal processing systems for signal, image, and video technology. Implementation issues in system-on-chip2017 https://link.springer.com/journal/11265/87/3/page/1 Juhuvead lausintegraallülitustesToomsalu, ArvoA & A2007 / 1, lk. 8-21 Kiibiargonaudid, nende kuldvillak ja sümplegaadidTammemäe, Kalle; Ellervee, PeeterInformaatika perspektiivsed suunad : Eesti Teaduste Akadeemia seminari materjalid : 29.11.20002000 / lk. 17-20 : ill Kiibikriis: kas maailmalõpp või Eesti võimalus?Raik, JaanPostimees2022 / Lk. 12 https://dea.digar.ee/article/postimees/2021/12/14/12.4 Kolm TalTechi teadlast siirduvad Stanfordi ülikooli uurimistööd tegema [Võrguväljaanne]postimees.ee2022 "Kolm TalTechi teadlast siirduvad Stanfordi ülikooli uurimistööd tegema " Kuidas mõjutab kiipsüsteem elektroonika-alast kõrgharidust?Ellervee, PeeterA & A2002 / 1, lk. 50-52 Kuidas saada kiipsüsteemi disaineriksTammemäe, KalleA & A2001 / 1, lk. 19-21 Kuidas suhtlevad kiibid e I2C ja SPI liidesedTammemäe, KalleArvutustehnika ja Andmetöötlus1994 / 6, lk. 2-5 ; 7/8, lk. 2-4 ; 9, lk. 2-5 : ill Kuidas testida arvutivõrku ränikiibilRaik, Jaan; Govind, VineethA & A2010 / 4, lk. 35-37 https://artiklid.elnet.ee/record=b2286479*est Latch-Based logic lockingSweeney, J.; Mohammed Zackriya, V.; Pagliarini, Samuel Nascimento; Pileggi, LarryProceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, HOST 20202020 / p. 132−141 : ill https://doi.org/10.1109/HOST45689.2020.9300256 Layout to logic defect analysis for hierarchical test generationJenihhin, Maksim; Raik, Jaan; Ubar, Raimund-Johannes; Pleskacz, Witold A.; Rakowski, MichalProceedings of the 2007 IEEE Workshop on Design and Diagnostic Circuits and Systems : April 11-13, 2007, Krakow, Poland2007 / p. 35-40 : ill http://dx.doi.org/10.1109/DDECS.2007.4295251 Lightweight monitoring scheme for flooding DoS Attack detection in multi-tenant MPSoCsChaves Arroyave, Cesar Giovanni; Sepulveda, Johanna; Hollstein, Thomas2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea May 22-28, 2021 : proceedings2021 / 5 p https://doi.org/10.1109/ISCAS51556.2021.9401153 Conference Proceedings at Scopus Article at Scopus Article at WOS Logic simulation and fault collapsing with shared structurally synthesized BDDsMironov, Dmitri; Ubar, Raimund-Johannes; Raik, Jaan2014 19th IEEE European Test Symposium (ETS) : May 26th-30th, 2014, Paderborn, Germany : proceedings2014 / [2] p. : ill Low power finite state machine synthesisFomina, Jelena2005 https://www.ester.ee/record=b2097121*est Low-area boundary BIST architecture for mesh-like network-on-chipRaik, Jaan; Govind, VineethProceedings of the 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) : April 18-20, 2012 Tallinn, Estonia2012 / p. 95-100 : ill Lower bounds of the size of shared structurally synthesized BDDsUbar, Raimund-Johannes; Mironov, DmitriProceedings of the 2014 IEEE 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) : April 23-25, 2014, Warsaw, Poland2014 / p. 77-82 : ill Madalapingelised integraallülitusedToomsalu, ArvoArvutustehnika ja Andmetöötlus1996 / 4, lk. 7-10; 5, lk. 9-14; 6, lk. 6-9: ill A method for crosstalk fault detection in on-chip busesBengtsson, Tomas; Jutman, Artur; Ubar, Raimund-Johannes; Kumar, ShashiNorchip : proceedings : Oulu, Finland, 21-22 November 20052005 / p. 285-288 : ill https://doi.org/10.1109/NORCHP.2005.1597045 Methode et outil de prototypage des systemes integres sur FPGAs : [doktoriväitekiri]Krupnova, Helena1999 Methods to optimize functional safety assessment for automotive integrated circuits = Meetodid autotööstuse kiipide funktsionaalse ohutuse hindamise optimeerimiseksBagbaba, Ahmet Cagri2022 https://doi.org/10.23658/taltech.9/2022 https://digikogu.taltech.ee/et/Item/58b0b89d-b1ba-4a73-ba53-850910d697b5 https://www.ester.ee/record=b5491885*est Mikk Raud: Eestil on aeg oma kiibipotentsiaal ellu äratadaArjakas, Merilidiplomaatia.ee2023 Mikroelektroonika kiipide testimise tarkvara turbo-tester : kommentaar Eesti Teaduste Akadeemia Bernhard Schmidti preemia pälvinud tööleRaik, JaanTallinna Tehnikaülikooli aastaraamat 20072008 / lk. 275-278 Mitut kiipsüsteemi on vaja?Tammemäe, KalleA & A2002 / 6, lk. 51-54 Model synthesis from VHDL for the functional test generation systemKrupnova, Helena1993 https://www.ester.ee/record=b2090509*est NOC mapping and schedulingNikiforov, DenissInfo- ja kommunikatsioonitehnoloogia doktorikooli IKTDK seitsmenda aastakonverentsi artiklite kogumik : 15.-16. novembril 2013, Haapsalu2013 / p. 73-78 : ill Novel data dependent divider circuit block implementation for complex division and area critical applicationsPatankar, Udayan Sunil; Flores, Miguel E.; Koel, AntsScientific reports2023 / art. 3027, 28 p. : ill https://doi.org/10.1038/s41598-023-28343-3 Nädala lood: jätkuvad halvad uudised ehitusestRõuk, Viivikaaripaev.ee2023 Nädala lood: jätkuvad halvad uudised ehitusest Nööpmälu iButtonToomsalu, ArvoA & A2007 / 5, lk. 8-17 : ill Off-line testing of crosstalk induced glitch faults in NoC InterconnectsBengtsson, Tomas; Kumar, Shashi; Jutman, Artur; Ubar, Raimund-JohannesProceedings [of] 24th IEEE Norchip Conference : Linköping, Sweden, 20-21 November 20062006 / p. 221-225 : ill http://dx.doi.org/10.1109/NORCHP.2006.329215 Off-line testing of delay faults in NoC interconnectsBengtsson, Tomas; Jutman, Artur; Kumar, Shashi; Peng, Zebo; Ubar, Raimund-Johannes9th EUROMICRO Conference on Digital Systems Design : Architectures, Methods and Tools (DSD 2006) : 30 August 2006-1 September 2006, Cavtat near Dubrovnik, Croatia : proceedings2006 / p. 677-680 : ill http://dx.doi.org/10.1109/DSD.2006.72 On the combined use of HLDDs and EFSMs for functional ATPGDi Guglielmo, Giuseppe; Fummi, Franco; Jenihhin, Maksim; Pravadelli, Graziano; Raik, Jaan; Ubar, Raimund-Johannes5th IEEE East-West Design & Test Symposium EWDTS 2007 : September 7-10, 2007, Yerevan, Armenia2007 / p. 503-508 : ill Optimization of the store-and-generate based built-in self-testUbar, Raimund-Johannes; Jervan, Gert; Kruus, Helena; Orasson, Elmet; Aleksejev, IgorBEC 2006 : 2006 International Baltic Electronics Conference : Tallinn University of Technology, October 2-4, 2006, Tallinn, Estonia : proceedings of the 10th Biennial Baltic Electronics Conference2006 / p. 199-202 : ill OTA-C realization of PIλ brake and throttle controllers for autonomous vehiclesDimeas, Ilias; Psychalinos, Costas; Elwakil, Ahmed; Tepljakov, Aleksei2017 European Conference on Circuit Theory and Design (ECCTD 2017) : Catania, Italy, 4 - 6 September, 20172017 / p. 39-40 : ill http://dx.doi.org/10.1109/ECCTD.2017.8093229 Overview about low-level and high-level decision diagrams for diagnostic modeling of digital systemsUbar, Raimund-JohannesFacta Universitatis [Niš]. Series electronics and energetics2011 / p. 303-324 : ill http://dx.doi.org/10.2298/FUEE1103303U Overview about low-lewel and high-level decision diagrams for diagnostic modeling of digital systemsUbar, Raimund-JohannesProceedings of the Reed-Muller 2011 Workshop : May 25-26, 2011, Tuusula, Finland2011 / p. 1-10 : ill Pooljuhtkomponentide simuleerimine arvutil : laboratoorse töö juhend2003 http://www.ester.ee/record=b1766958*est A pragmatic methodology for blind hardware trojan insertion in finalized layoutsHepp, Alexander; Perez, Tiago Diadami; Pagliarini, Samuel Nascimento; Sigl, GeorgICCAD '22: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design2022 / art. 69, p. 1-9 : ill https://doi.org/10.1145/3508352.3549452 Conference Proceedings at Scopus Article at Scopus Article at WOS Proceedings of the 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) : April 18-20, 2012 Tallinn, Estonia2012 http://www.ester.ee/record=b2777270*est PropellerkiipTammemäe, KalleA & A2006 / 5, lk. 6-14 : ill Rahvusvaheline süsteem-kiibil teaduskonverents Soomes - Tampere SoC Symposium 2003Raik, JaanA & A2003 / 6, lk. 56 Real-time regulation of beam-based feedback : implementing an FPGA solution for a continuous wave linear acceleratorMaalberg, Andrei; Kuntzsch, Michael; Petlenkov, EduardSensors2022 / art. 6236, 22 p. : ill https://doi.org/10.3390/s22166236 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Reseeding using compaction of pre-generated LFSR sequencesJutman, Artur; Aleksejev, Igor; Raik, Jaan; Ubar, Raimund-JohannesICECS 2008 : The 15th IEEE International Conference on Electronics, Circuits and Systems : 31st August to 3rd September 2008, Malta : conference guide2008 / p. 215 Reseeding using compaction of pre-generated LFSR sub-sequencesJutman, Artur; Aleksejev, Igor; Raik, Jaan; Ubar, Raimund-JohannesICECS 2008 : The 15th IEEE International Conference on Electronics, Circuits and Systems : Malta2008 / p. 1290-1295 : ill http://dx.doi.org/10.1109/ICECS.2008.4675096 Reusing verification assertions as security checkers for Hardware Trojan detectionEslami, Mohammad; Ghasempouri, Tara; Pagliarini, Samuel Nascimento2022 23rd International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA : 06-07 April 20222022 / p. 1-6 : ill https://doi.org/10.1109/ISQED54688.2022.9806292 Second IEEE East-West Design and Test WorkshopHahanov, Vladimir; Ubar, Raimund-JohannesIEEE journal of design & test of computers2004 / p. 594 Security-aware physical synthesis of integrated circuits = Integraallülituste turvateadlik füüsiline sünteesPerez, Tiago Diadami2023 https://doi.org/10.23658/taltech.4/2023 https://digikogu.taltech.ee/et/Item/440f41fd-0950-4b5c-8e47-4f75a754cdae https://www.ester.ee/record=b5536743*est Side-channel attacks on triple modular redundancy schemesAlmeida, Felipe; Aksoy, Levent; Raik, Jaan; Pagliarini, Samuel Nascimento2021 IEEE 30th Asian Test Symposium ATS 2021 : proceedings2021 / p. 79-84 : ill https://doi.org/10.1109/ATS52891.2021.00026 Conference Proceedings at Scopus Article at Scopus Article at WOS A side-channel hardware trojan in 65nm CMOS with 2μW precision and multi-bit leakage capabilityPerez, Tiago Diadami; Pagliarini, Samuel Nascimento2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC) : 17-20 January 2022 : Taipei, Taiwan2022 / p. 9-10 : ill https://doi.org/10.1109/ASP-DAC52403.2022.9712490 Side-channel Trojan insertion - a practical foundry-side attack via ECOPerez, Tiago Diadami; Imran, Malik; Vaz, Pablo; Pagliarini, Samuel Nascimento2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea, May 22-28, 2021 : proceedings2021 / 6 p. : ill https://doi.org/10.1109/ISCAS51556.2021.9401481 Conference Proceedings at Scopus Article at Scopus Article at WOS Silicon integrated circuit fabrication process modeling and simulationRang, Toomas; Tarnay, K.; Mizsei, JanosPeriodica polytechnica. Electrical engineering = Электротехника1980 / p. 109-113 https://www.ester.ee/record=b1198855*est A simulation framework for 3-dimension networks-on-chip with different vertical channel density configurationsYing, Haoyuan; Jaiswal, Ashok; Abd El Ghany, Mohamed A; Hollstein, Thomas; Hofmann, KlausProceedings of the 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) : April 18-20, 2012 Tallinn, Estonia2012 / p. 83-88 : ill Split-chip design to prevent IP reverse engineeringPagliarini, Samuel Nascimento; Sweeney, Joseph; Mai, Ken; Blanton, Shawn; Mitra, Subhasish; Pileggi, LarryIEEE Design and Test2020 / p. 109-118 https://doi.org/10.1109/MDAT.2020.3033255 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Steady state analysis of an output signal based combination of two NLMS adaptive filtersTrump, Tõnu17th European Signal Processing Conference (EUSIPCO 2009) : 24-28 August 20092009 / p. 1720-1724 https://ieeexplore.ieee.org/document/7077570 Steady state analysis of the galvanically isolated DC/DC converter with a commutating LC filter [Electronic resource]Zakis, Janis; Vinnikov, Dmitri; Rankis, Ivars2012 IEEE International Conference on Industrial Technology : proceedings CD2012 / p. 838-843 : ill [CD-ROM] https://ieeexplore.ieee.org/document/6210041 https://ieeexplore.ieee.org/document/6210041 Structurally synthesized multiple input BDDs for simulation of digital circuitsUbar, Raimund-Johannes; Mironov, Dmitri; Raik, Jaan; Jutman, Artur16th IEEE International Conference on Electronics, Circuits, and Systems, ICECS 2009 : Yasmine Hammamet, Tunesia, 13-19 December, 20092009 / p. 451-454 : ill http://dx.doi.org/10.1109/ICECS.2009.5410895 A survey on split manufacturing : attacks, defenses, and challengesPerez, Tiago Diadami; Pagliarini, Samuel NascimentoIEEE Access2020 / p. 184013-184035 https://doi.org/10.1109/ACCESS.2020.3029339 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Süvatehnoloogiate alternatiivsed arengutrajektoorid ja nende tähendus Eestile : lõpparuanneKoppel, Kaupo; Kuusik, Alar; Arrak, Kadri; Raik, Jaan; Niidu, Allan; Kõks, Kerttu-Liis; Lahtvee, Petri-Jaan2023 https://media.voog.com/0000/0037/5345/files Symmetry in the narrow sense: on the linearity and time-invariance of DQ0 modelsSegev, Elior; Ofir, Ron; Belikov, Juri; Levron, YoashIEEE Transactions on Power Systems2023 / p. 1751-1754 https://doi.org/10.1109/TPWRS.2022.3229873 Synthesis of multiple fault oriented test groups from single fault test sets [Electronic resource]Ubar, Raimund-Johannes; Kostin, Sergei; Raik, Jaan2013 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS) : 26-28 March 2013, Abu Dhabi, UAE2013 / p. 36-41 : ill [CD-ROM] Synthesis of sequential circuits with dynamic power managementLensen, Harri; Kruus, Margus; Sudnitsõn, AleksanderProc. of 42nd International Scientific Conference of Riga Technical University : RTUCET'012001 / p. 81-86 Synthesis of sequential circuits with dynamic power managementLensen, Harri; Kruus, Margus; Sudnitsõn, AleksanderScientific proceedings of Riga Technical University. 7.serija, Telecommunications and electronics2001 / p. 81-86 System-level design of timing-sensitive network-on-chip based dependable systems = Kiipvõrkudel põhinevate ajakriitiliste ja töökindlate süsteemide kõrgtaseme disainTagel, Mihkel2012 https://www.ester.ee/record=b2778263*est Z-RAM-mäluToomsalu, ArvoA & A2008 / 3, lk. 10-19 https://artiklid.elnet.ee/record=b1022321*est TalTechi arvutisüsteemide professori uudne tehnoloogia raskendab spionaaži [Võrguväljaanne]Kald, Indrekituudised.ee2021 "TalTechi arvutisüsteemide professori uudne tehnoloogia raskendab spionaaži Teaching advanced test issues in digital electronicsUbar, Raimund-Johannes; Orasson, Elmet; Raik, Jaan; Wuttke, Heinz-DietrichProceedings of the 6th IEEE International Conference on Information Technology Based Higher Education and Training : ITHET : July 7-9, 2005, Juan Dolio, Dominican Republic2005 / p. S2B-1 - S2B-6 : ill http://dx.doi.org/10.1109/ITHET.2005.1560318 Teaching digital test with BIST analyzerJutman, Artur; Tšertov, Anton; Tšepurov, Anton; Aleksejev, Igor; Ubar, Raimund-Johannes; Wuttke, Heinz-Dietrich19th EAEEIE Annual Conference : June 29-July 2, 2008, Tallinn, Estonia : formal proceedings2008 / p. 123-128 : ill http://dx.doi.org/10.1109/EAEEIE.2008.4610171 Tehisintellekti kiire areng tõotab kiibitööstust põhjalikult raputadaPort, Kristjandelfi.ee2023 Tehisintellekti kiire areng tõotab kiibitööstust põhjalikult raputada Tehnikaülikooli teadlased loovad uue põlvkonna veakindlaid kiipeNõges, KrõõtMente et Manu2010 / lk. 2 https://www.ester.ee/record=b1242496*est Tehnikaülikooli teadlaste juhtimisel luuakse uue põlvkonna veakindlaid kiipeStudioosus2010 / veebr., lk. 8 10th IEEE European Test SymposiumUbar, Raimund-Johannes; Prinetto, Paolo; Raik, JaanIEEE journal of design & test of computers2005 / p. 480-481 : phot http://dx.doi.org/10.1109/MDT.2005.106 Test configurations for diagnosing faulty links in NoC switchesRaik, Jaan; Ubar, Raimund-Johannes; Govind, Vineeth12th IEEE European Test Symposium ETS 2007 : 20-24 May 2007, Freiburg, Germany : proceedings2007 / p. 29-34 : ill http://dx.doi.org/10.1109/ETS.2007.41 Ultra fast parallel fault analysis on structurally synthesized BDDsUbar, Raimund-Johannes; Devadze, Sergei; Raik, Jaan; Jutman, Artur12th IEEE European Test Symposium ETS 2007 : 20-24 May 2007, Freiburg, Germany : proceedings2007 / p. 131-136 : ill http://dx.doi.org/10.1109/ETS.2007.43 Untestable fault identification in sequential circuits using model-checkingRaik, Jaan; Fujiwara, Hideo; Ubar, Raimund-Johannes; Krivenko, AnnaProceedings of the 17th Asian Test Symposium ATS 2008 : November 24-27, 2008, Sapporo, Japan2008 / p. 21-26 : ill http://dx.doi.org/10.1109/ATS.2008.22 Uudne turvaline kiibitehnoloogiaRaik, JaanMente et Manu2021 / lk. 32-33 : fot Mente et Manu 2/2021 Uuring: Eesti majanduse veduriks võib saada kuus tehnoloogiavaldkondaBioneer.ee2023 Uuring: Eesti majanduse veduriks võib saada kuus tehnoloogiavaldkonda VHDL design debug framework based on zamiaCADTihhomirov, Valentin; Tšepurov, Anton; Saif Abrar, Syed; Jenihhin, Maksim; Raik, JaanDATE 2013 : Design Automation and Test in Europe, March 18-22, 2013, Grenoble, France2013 / [1] p. : ill Võitlus kiipides varitsevate troojalastega tõstab Eesti teadlased kilbileHärmat, Karinerr.ee2023 Võitlus kiipides varitsevate troojalastega tõstab Eesti teadlased kilbile Быстродействующие интегральные компараторыGurjanov, Boris; Tamm, UljasIX Всесоюзная научно-техническая конференция по микроэлектронике, г. Казань, 14-17 окт. 1980 г. : тезисы докладов1980 / с. 88 Изменение параметров интегральных схем при анализе в растровом электронном микроскопеMeiler, BorissЭлектрофизические свойства полупроводниковых и диэлектрических материалов1986 / с. 85-92 https://www.ester.ee/record=b1296001*est Измеритель коэффициента шума интегральных усилителейTammet, Heinar; Torim, A.A.Тезисы докладов республиканской научно-технической конференции, посвященной 80-летию со дня изобретения радио А. С. Поповым1975 / с. 93 https://www.ester.ee/record=b1322122*est Измеритель шумов интегральных схемKoiduste, A.; Tammet, HeinarXX студенческая научно-техническая конференция вузов Прибалтийских республик, Белорусской ССР и Молдавской ССР : тезисы докладов. Часть 11974 / с. 147 https://www.ester.ee/record=b1306141*est Исследование влияния технологического микроклимата в производстве интегральных микросхем : автореферат ... кандидата технических наук (05.12.18)Rätsep, Ülo1983 https://www.ester.ee/record=b1522476*est Коэффициенное ударное ионизацеи носителей заряда в арсениде галлияRang, Toomas; Puusepp, MärtЭлектронная техника. Серия 2, Полупроводниковые приборы : научно-технический сборник1987 / с. 98-100 https://www.ester.ee/record=b2160501*est Метод исследования комплексного влияния параметров технологического микроклимата на качество полупроводниковых интегральных микросхемRätsep, ÜloТезисы докладов Республиканской научно-технической конференции "Современные методы и устройства радиоэлектронного оборудования", посвященной Дню радио. Секция: полупроводниковые приборы1981 / с. 81-82 https://www.ester.ee/record=b1310801*est Методическое пособие к лабораторным работам и курсовому проектированию по дисциплине "Схемотехника ЭВМ"1987 https://www.ester.ee/record=b1354263*est Методы идентификации шумовых источников интегральных схемTammet, HeinarТезисы докладов республиканской научно-технической конференции, посвященной Дню радио, Таллин, 19771977 / с. 87-88 https://www.ester.ee/record=b1313776*est Оценка тенденции использования некоторых видов микросхемMaltsev, Jüri; Ševtšenko, S.Тезисы докладов республиканской научно-технической конференции, посвященной Дню радио. [1], Секция: Информационно-измерительная техника1981 / с. 25-26 https://www.ester.ee/record=b1310782~S1*est Построение тестов для неисправностей комбинационных схем на основе анализа ортогональных дизъюнктивных нормальных форм, представляемых альтернативными графамиMatrosova, A.Yu.; Pleshkov, A.G.; Ubar, Raimund-JohannesАвтоматика и телемеханика2005 / с. 158-174 : ил http://mi.mathnet.ru/at1333 Прогнозирование качества интегральных микросхем на основе кластеров состоянийBudarin, Vladimir; Rätsep, Ülo; Teevet, J.-T.Методы и средства цифровой обработки сигналов1984 / с. 111-115 эффективное средство защиты от дронов-камикадзе еще только предстоит найти [Online resources]rus.err.ee2022 AK.Nädal: эффективное средство защиты от дронов-камикадзе еще только предстоит найти