- About the fragility of truth in the dialogue between science and societyUbar, Raimund-JohannesEstonian Academy of Sciences year book = Annales Academiae Scientiarum Estonicae 20172018 / p. 58-60 https://www.ester.ee/record=b1874722*est
- Accelerating transient fault injection campaigns by using Dynamic HDL SlicingBagbaba, Ahmet Cagri; Jenihhin, Maksim; Raik, Jaan; Sauer, Christian2019 IEEE Nordic Circuits and Systems Conference (NORCAS) : NORCHIP and International Symposium of System-on-Chip (SoC), 29-30 October 2019, Helsinki, Finland : proceedings in IEEE Xplore2019 / 7 p. : ill https://doi.org/10.1109/NORCHIP.2019.8906932
- Accurate detection of paroxysmal atrial fibrillation with certified-GAN and neural architecture searchAsadi, Mehdi; Poursalim, Fatemeh; Loni, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Gharehbaghi, ArashScientific Reports2023 / art. 11378, 16 p. : ill https://doi.org/10.1038/s41598-023-38541-8
- Active blended learning as a tool focused on industry 5.0 at EuroTeQ Engineering UniversityVodovozov, Valery; Raud, Zoja; Petlenkov, Eduard2024 IEEE Global Engineering Education Conference (EDUCON) : proceedings2024 / p. 1-5 https://doi.org/10.1109/EDUCON60312.2024.10578688
- Active blended learning engineering students : a case studyVodovozov, Valery; Raud, Zoja; Petlenkov, EduardEducation Sciences2022 / art. 344 https://doi.org/10.3390/educsci12050344 https://www.scopus.com/sourceid/21100897500 https://www.scopus.com/record/display.uri?eid=2-s2.0-85130594251&origin=inward&txGid=a32def5f3668bebe2d3c7b3b83164a7b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=EDUC%20SCI&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000804894200001
- Active blended learning of industry 5.0-oriented sustainable power engineering specialistsVodovozov, Valery; Raud, Zoja; Petlenkov, EduardFirst International Conference on Sustainable Energy Education (SEED 2024) : proceedings2024 / p. 719-725 https://doi.org/10.4995/SEED2024.2024.19007
- AdAM: adaptive fault-tolerant approximate multiplier for edge DNN acceleratorsTaheri, Mahdi; Cherezova, Natalia; Nazari, Samira; Rafiq, Ahsan; Azarpeyvand, Ali; Ghasempouri, Tara; Daneshtalab, Masoud; Raik, Jaan; Jenihhin, Maksim2024 IEEE European Test Symposium (ETS): ETS 2024 : May 20-24, 2024, The Hague, Netherlands : proceedings2024 https://doi.org/10.1109/ETS61313.2024.10567161 https://www.scopus.com/sourceid/21100395950 https://www.scopus.com/record/display.uri?eid=2-s2.0-85197518684&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FETS61313.2024.10567161%29&sessionSearchId=2694adcfded71cf12565f4df31de58f5&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001260970400008
- Adaptive Kalman filter based data aggregation in fault-resilient Underwater Sensor NetworksVihman, Lauri; Raik, Jaan2023 24th International Conference on Digital Signal Processing (DSP)2023 / p. 1-5 https://doi.org/10.1109/DSP58604.2023.10167982
- ADAssure : debugging methodology for autonomous driving control algorithmsRoberts, Andrew; Heidari Iman, Mohammad Reza; Bellone, Mauro; Ghasempouri, Tara; Raik, Jaan; Maennel, Olaf Manuel; Hamad, Mohammad; Steinhorst, Sebastian2024 Design, Automation & Test in Europe Conference & Exhibition (DATE) : proceedings2024 / p. 1-6 https://doi.org/10.23919/DATE58400.2024.10546519
- Addressing scalability issues in semantics-driven recommender systemsBendouch, Mounir M.; Frasincar, Flavius; Robal, TarmoWI-IAT '21: IEEE/WIC/ACM International Conference on Web Intelligence2021 / p. 56-63 https://doi.org/10.1145/3486622.3493963 https://www.scopus.com/sourceid/11600154611 https://www.scopus.com/record/display.uri?eid=2-s2.0-85128629136&origin=inward&txGid=5d471340fe67ea410071554b7608b6db https://www.webofscience.com/wos/woscc/full-record/WOS:000943059300007
- Adjustable self-healing methodology for accelerated functions in heterogeneous systemsRiazati, Mohammad; Ghasempouri, Tara; Daneshtalab, Masoud; Raik, Jaan; Sjodin, Mikael; Lisper, Bjorn2020 23rd Euromicro Conference on Digital System Design (DSD), 26-28 August 2020, Kranj, Slovenia2020 / p. 638-645 https://doi.org/10.1109/DSD51259.2020.00104
- Administration of the state information system of the Estonian eGovernment [Electronic resource]Kalja, Ahto; Robal, Tarmo; Gailan, TriinPICMET '17 : Portland International Conference on Management of Engineering and Technology : Technology Management for the Interconnected World : proceedings2017 / p. 1-7 : ill. [USB] https://doi.org/10.23919/PICMET.2017.8125312
- Advanced control of district heating processes in Estonia = Keskkütte soojuse tootmisprotsesside juhtimineVansovitš, Vitali2018 https://digi.lib.ttu.ee/i/?10628 https://www.ester.ee/record=b5151484*est
- Advancing ecohydraulics and ecohydrology by clarifying the role of their component interdisciplinesGosselin, Marie-Pierre; Ouellet, Valerie; Harby, Atle; Nestler, JohnJournal of ecohydraulics2019 / p. 172-187 https://doi.org/10.1080/24705357.2019.1658137 https://www.scopus.com/sourceid/21101089398 https://www.scopus.com/record/display.uri?eid=2-s2.0-85131648871&origin=inward&txGid=7d2813ad06815215a92db6c80c9ff251
- AI and elections : an introduction to the special issueSrivastava, Biplav; Nikolich, Anita; Koppel, TarmoAI Magazine2023 / p. 216−217 https://doi.org/10.1002/aaai.12110
- “AI ei mõtle, AI arvutab”. Konverentsil kohtusid tehisaru rakendajad ja entusiastidKald, Indrekituudised.ee2024 https://www.ituudised.ee/uudised/2024/11/17/ai-ei-motle-ai-arvutab-konverentsil-kohtusid-tehisaru-rakendajad-ja-entusiastid
- Akadeemik Maarja Kruusmaa : ebakindlus on uus reaalsusKruusmaa, MaarjaMente et Manu2017 / lk. 12-17 : fot http://www.ttu.ee/public/m/mente-et-manu/MM_01_2017/index.html
- Algorithm for restructuring of structurally synthesized BDDsJürimägi, Lembit; Ubar, Raimund-Johannes2019 IEEE 31st International Conference on Microelectronics : Niš, Serbia September 16th-18th, 2019 : proceedings2019 / p. 239-242 : ill https://doi.org/10.1109/MIEL.2019.8889578
- Algorithms for online CO2 baseline correction in intermittently occupied roomsVihman, Lauri; Parts, Tuule Mall; Aljas, Hans Kristjan; Thalfeldt, Martin; Raik, JaanHealthy Buildings 2023 Europe: Beyond Disciplinary Boundaries: proceedings ; 12023 / p. 302-309 : ill https://www.proceedings.com/content/070/070278webtoc.pdf
- American sign language character recognition using convolutional neural networksAbdullah, Atesam; Ali, Nisar; Ali, Raja Hashim; Abideen, Zain Ul; Ijaz, Ali Zeeshan; Bais, Abdul2023 IEEE Canadian Conference on Electrical and Computer Engineering (CCECE) : Regina, SK, Canada, 24-27 September 20232023 / p. 165-169 https://doi.org/10.1109/CCECE58730.2023.10288799
- Amfiibrobotid aitavad aimu saada veealusest elustTraks, Kristinanovaator.err.ee2023 https://novaator.err.ee/1608908354/amfiibrobotid-aitavad-aimu-saada-veealusest-elust https://www.toostusuudised.ee/uudised/2023/03/08/robotid-aitavad-aimu-saada-veealusest-elust
- An Accelerator-based architecture utilizing an efficient memory link for modern computational requirementsYousefzadeh, Saba; Basharkhah, Katayoon; Raik, Jaan; Jenihhin, Maksim2019 IEEE East-West Design & Test Symposium (EWDTS)2019 / 6 p. : ill https://doi.org/10.1109/EWDTS.2019.8884481
- An automatic approach to evaluate assertions' quality based on data-mining metricsGhasempouri, Tara; Niazmand, Behrad; Raik, JaanProceedings 2nd IEEE International Test Conference in Asia : ITC-Asia 2018, 15-17 August 2018, Harbin, China2018 / p. 61-66 : ill https://doi.org/10.1109/ITC-Asia.2018.00021
- An enhancement of the driver distraction detection and evaluation method based on computational intelligence algorithmsAksjonov, Andrei; Nedoma, Pavel; Vodovozov, Valery; Petlenkov, Eduard2018 IEEE 16th International Conference on Industrial Informatics (INDIN 2018) : Porto, Portugal, 18-20 July 20182018 / p. 201-206 : ill https://doi.org/10.1109/INDIN.2018.8472045
- An investigation of image processing techniques for substrate classification based on dominant grain size using RGB images from UAVArif, Mohammad Shafi M.; Gülch, Eberhard; Tuhtan, Jeffrey Andrew; Thumser, Philipp; Haas, ChristianInternational journal of remote sensing2017 / p. 2639-2661 : ill https://doi.org/10.1080/01431161.2016.1249309 https://www.scopus.com/sourceid/22674 https://www.scopus.com/record/display.uri?eid=2-s2.0-84992740249&origin=inward&txGid=dd221e2e9b0c2e1c88b3b2ead97f958d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=INT%20J%20REMOTE%20SENS&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000397995100035
- An optimization framework for dynamic pipeline management in computing systemsNaqvi, Syed Rameez; Zahid, Anjum; Sawalha, Lina; Jenihhin, MaksimComputers & electrical engineering2019 / p. 242-258 : ill https://doi.org/10.1016/j.compeleceng.2019.07.013 https://www.scopus.com/sourceid/18159 https://www.scopus.com/record/display.uri?eid=2-s2.0-85069706252&origin=inward&txGid=7e4f61996b13253ec08f04ab9fb87fe9 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=COMPUT%20ELECTR%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000487574700019
- Analysing robustness of tiny deep neural networksMousavi, Hamid; Zoljodi, Ali; Daneshtalab, MasoudNew Trends in Database and Information Systems : ADBIS 2023 Short Papers, Doctoral Consortium and Workshops : DOING, K-GALS, MADEISD, PeRS, Barcelona, Spain, September 4–7, 2023 : proceedings2023 / p. 150-159 https://doi.org/10.1007/978-3-031-42941-5_14 https://www.scopus.com/sourceid/17700155007 https://www.scopus.com/record/display.uri?eid=2-s2.0-85171970100&origin=inward&txGid=091e818cd8188b86d01edd202d503a6f
- Analysis and improvement of resilience for long short-term memory neural networksAhmadilivani, Mohammad Hasan; Raik, Jaan; Daneshtalab, Masoud; Kuusik, Alar2023 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)2023 https://doi.org/10.1109/DFT59622.2023.10313559
- Analysis of competency-based learning - 6 years laterUmbleja, Kadri; Kukk, Vello; Jaanus, MartinProceedings of 2017 IEEE Global Engineering Education Conference (EDUCON) : 25-28 April 2017, Athens, Greece2017 / p. 165-174 : ill https://doi.org/10.1109/EDUCON.2017.7942843
- Analyzing side-channel attack vulnerabilities at RTLLai, Xinhui; Jenihhin, Maksim2023 IEEE 24th Latin American Test Symposium (LATS)2023 / 2 p. : ill https://doi.org/10.1109/LATS58125.2023.10154497
- Anomalous File System Activity detection through Temporal Association rule miningReza, M.; Iman, H.; Chikul, Pavel; Jervan, Gert; Bahsi, Hayretdin; Ghasempouri, TaraProceedings of the 9th International Conference on Information Systems Security and Privacy ICISSP. Vol. 12023 / p. 733-740 https://doi.org//10.5220/0000168400003405
- Apokalüpsise ratsanikega tuleb tegeleda korragaKruusmaa, MaarjaPostimees2022 / Lk. 8 https://arvamus.postimees.ee/7672500/maarja-kruusmaa-apokalupsise-ratsanikega-tuleb-tegeleda-korraga https://dea.digar.ee/article/postimees/2022/12/17/11.4
- Application of machine learning for assessment of HS code correctnessSpitšakova, Margarita; Haav, Hele-MaiBaltic journal of modern computing2020 / p. 698-718 https://doi.org/10.22364/bjmc.2020.8.4.13 https://www.scopus.com/sourceid/21100983350 https://www.scopus.com/record/display.uri?eid=2-s2.0-85099189374&origin=inward&txGid=383898f96e142dc6039ac00f63f5f625 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=BALT%20J%20MOD%20COMPUT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000601605000015
- Application of neural-network based variable fractional order PID controllers for load frequency control in isolated microgridsBelikov, Juri; Nosrati, Komeil; Škiparev, Vjatšeslav; Tepljakov, Aleksei; Petlenkov, Eduard; Levron, YoashPower system frequency control : modeling and advances. 1st Ed2023 / p. 203–216 : ill https://www.elsevier.com/books/power-system-frequency-control/mishra/978-0-443-18426-0
- Application specific true critical paths identification in sequential circuitsJürimägi, Lembit; Ubar, Raimund-Johannes; Jenihhin, Maksim; Raik, Jaan; Devadze, Sergei; Oyeniran, Adeboye Stephen2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS 2019) : 1-3 July 2019, Greece2019 / p. 299-304 : ill https://doi.org/10.1109/IOLTS.2019.8854442
- Application-oriented performance characterization of the ionic polymer transducers (IPTs) = Ioonpolümeeridest täiturite võimekuse karakteriseerimine rakendusteksHunt, Andres2017 https://digi.lib.ttu.ee/i/?7576 https://www.ester.ee/record=b4670806*est
- Applications of digital twins for demand side recommendation scheme with consumer comfort constraintsOnile, Abiodun Emmanuel; Belikov, Juri; Petlenkov, Eduard; Levron, YoashIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2023) : proceedings2023 / 5 p https://doi.org/10.1109/ISGTEUROPE56780.2023.10407399
- Applying RIS-based communication for collaborative computing in a swarm of dronesRahbari, Dadmehr; Alam, Muhammad Mahtab; Le Moullec, Yannick; Jenihhin, MaksimIEEE Access2023 / p. 70093−70109 https://doi.org/10.1109/ACCESS.2023.3293737 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85164716802&origin=inward&txGid=707ff497034574a8b2c2dba17f69f0d8 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001030597700001
- APPRAISER : DNN fault resilience analysis employing approximation errorsTaheri, Mahdi; Ahmadilivani, Mohammad Hasan; Jenihhin, Maksim; Raik, Jaan; Daneshtalab, Masoud2023 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS)2023 / p. 124−127 https://ddecs2023.taltech.ee/ https://doi.org//10.1109/DDECS57882.2023.10139468
- Approaches to extra-functional verification of security and reliability aspects in hardware designs = Riistvaraprojektide turva- ja töökindlusaspektide ekstrafunktsionaalse verifitseerimise lähenemisviisidLai, Xinhui2022 https://doi.org/10.23658/taltech.29/2022 https://digikogu.taltech.ee/et/Item/cff1aeb9-b0b2-49ce-b81a-bfb9dc25fd56 https://www.ester.ee/record=b5502807*est
- Aquatic exerciseGobbi, Michele; Aquiri, Andrea; Monoli, CeciliaRehabilitation interventions in the patient with obesity2020 / p. 35-50 https://doi.org/10.1007/978-3-030-32274-8_3
- Archimedes screw - an alternative for safe migration through turbines?Pauwels, Ine S.; Tuhtan, Jeffrey Andrew; Coeck, Johan; Buysse, David; Baeyens, RafNovel Developments for Sustainable Hydropower2022 / p. 125-133 : ill https://doi.org/10.1007/978-3-030-99138-8_11
- Architectural solutions for high-speed data processing demands of CERN LHC detectors with FPGA and high-level synthesisDevadze, Sergei; Nielsen, Christine Elizabeth; Mihhailov, Dmitri; Ellervee, Peeter2024 IEEE Nordic Circuits and Systems Conference (NorCAS)2024 https://doi.org/10.1109/NorCAS64408.2024.10752490 https://www.scopus.com/record/display.uri?eid=2-s2.0-85211955157&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE%28%22Architectural+Solutions+for+High-Speed+Data+Processing+Demands+of+CERN+LHC+Detectors+with+FPGA+and+High-Level+Synthesis%22%29&sessionSearchId=fa5e22e6b6020c2cc124a3eadf2fa9d1&relpos=0
- An area aware accelerator for elliptic curve point multiplicationImran, Malik; Pagliarini, Samuel Nascimento; Rashid, Muhammad Haroon27th IEEE International Conference on Electronics Circuits and Systems, (ICECS) 2020, Glasgow, UK, Virtual Conference, November 23-25, 2020 : proceedings2020 / 4 p https://doi.org/10.1109/ICECS49266.2020.9294908
- Areeba : an area efficient binary huff-curve architectureSajid, Asher; Rashid, Muhammad; Jamal, Sajjad Shaukat; Imran, Malik; Alotaibi, Saud S.; Sinky, Mohammed H.Electronics (Switzerland)2021 / art. 1490 https://doi.org/10.3390/electronics10121490 https://www.scopus.com/sourceid/21100829272 https://www.scopus.com/record/display.uri?eid=2-s2.0-85108164597&origin=resultslist&sort=plf-f&src=s&sid=0572f7efec97a2ba4690f692a7486e18&sot=b&sdt=b&s=DOI%2810.3390%2Felectronics10121490%29&sl=26&sessionSearchId=0572f7efec97a2ba4690f692a7486e18&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ELECTRONICS-SWITZ&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000666223100001
- The ARROWS project : robotic technologies for underwater archaeologyAllotta, Benedetto; Costanzi, Riccardo; Ridolfi, Alessandro; Salvetti, Ovidio; Reggiannini, Marco; Kruusmaa, Maarja; Salumäe, Taavi; Lane, David M. Mike; Frost, Gordon; Tsiogkas, Nikolaos; Cocco, MicheleIOP conference series : materials science and engineering2018 / art. 012088 https://doi.org/10.1088/1757-899X/364/1/012088 https://www.scopus.com/sourceid/19700200831 https://www.scopus.com/record/display.uri?eid=2-s2.0-85049374412&origin=inward&txGid=a9fcd428d095a1658aefb3ded230f8f0 https://www.webofscience.com/wos/woscc/full-record/WOS:000452025100088
- Artificial lateral line for aquatic habitat modelling: An example for Lefua echigoniaGarcia-Vega, Ana; Fuentes-Perez, Juan Francisco; Fukuda, Shinji; Kruusmaa, Maarja; Sanz-Ronda, Francisco Javier; Tuhtan, Jeffrey AndrewEcological Informatics2021 / art. 101388 https://doi.org/10.1016/j.ecoinf.2021.101388 https://www.scopus.com/sourceid/3100147401 https://www.scopus.com/record/display.uri?eid=2-s2.0-85113307903&origin=inward&txGid=68b1fda4a7afd541a2e580540f4f50a8 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ECOL%20INFORM&year=2021 https://www.webofscience.com/wos/woscc/full-record/WOS:000703766700004
- Artificial lateral lines : assessing fish passages sensing like a fish [Online resource]Fuentes-Pérez, Juan FranciscoInternational Conference on Engineering and Ecohydrology for Fish Passage, June 19-21, 2017, Oregon State University, Corvallis, Oregon (USA) : presentation abstracts2017 / p. 91 http://fishpassage.umass.edu/conference2017/sites/default/files/FishPassage2017_Abstracts.pdf
- ARTmine : automatic association rule mining with temporal behavior for hardware verificationHeidari Iman, Mohammad Reza; Jervan, Gert; Ghasempouri, Tara2024 Design, Automation & Test in Europe Conference & Exhibition (DATE) : Valencia, Spain, 25-27 March 2024 : proceedings2024 / p. 1-6 : ill https://ieeexplore.ieee.org/document/10546742
- Asjade Internet teeb TTÜ kampusest digilinnakuLeier, MairoMente et Manu2018 / lk. 40-41 : fot https://ttu.ee/public/m/mente-et-manu/MM_02_2018/mobile/index.html#p=3 http://dea.digar.ee/publication/AKmenteetmanu http://www.ester.ee/record=b1242496*est https://artiklid.elnet.ee/record=b2862659*est
- Assessing interaction mechanics in extended reality for control engineering applicationsRemenyi, Armin; Alsaleh, Saleh; Tepljakov, Aleksei2024 32nd International Conference on Software, Telecommunications and Computer Networks, SoftCOM 20242024 https://doi.org/10.23919/SoftCOM62040.2024.10721783 https://www.scopus.com/record/display.uri?eid=2-s2.0-85208824990&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.23919%2FSoftCOM62040.2024.10721783%29&sessionSearchId=9d2463a4c393c098eafee8de7d14eac0&relpos=0
- Assessing opportunities and barriers to improving the secondary use of health care data at the national level : multicase study in the Kingdom of Saudi Arabia and EstoniaMetsallik, Janek; Draheim, Dirk; Sabic, Zlatan; Novak, Thomas; Ross, PeeterJournal of Medical Internet Research2024 / art. e53369 https://doi.org/10.2196/53369 https://www.scopus.com/sourceid/23709 https://www.scopus.com/record/display.uri?eid=2-s2.0-85200840325&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.2196%2F53369%29&sessionSearchId=9d2463a4c393c098eafee8de7d14eac0&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20MED%20INTERNET%20RES&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001305996500004
- Assessment of the eID ecosystem as a part of the state’s critical infrastructure : the case of EstoniaBejussova, Karolina; Lips, Silvia; Ahmed, Rozha Kamal; Draheim, DirkElectronic Government and the Information Systems Perspective : 13th International Conference, EGOVIS 2024, Naples, Italy, August 26–28, 2024, Proceedings2024 / p. 88 - 102 https://doi.org/10.1007/978-3-031-68211-7_8 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85202145689&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1007%2F978-3-031-68211-7_8%29&sessionSearchId=9d2463a4c393c098eafee8de7d14eac0&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:001308540600008
- Augmented coaching ecosystem for non-obtrusive adaptive personalized elderly care on the basis of cloud-fog-dew computing paradigm [Electronic resource]Gordienko, Yuri; Stirenko, S.; Alienin, O.; Jervan, Gert2017 40th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), May 22 - 26, 2017, Opatija, Croatia : proceedings2017 / p. 359-364 : ill. [CD-ROM] https://doi.org/10.23919/MIPRO.2017.7973449
- AugustihuumorKukk, VelloÕpetajate leht2018 / lk. 5 https://opleht.ee/2018/08/augustihuumor/
- Ausblick : Quo vadis Ethohydraulik – welche Entwicklungen gibt es?Lehmann, Boris; Bensing, Katharina; Adam, Beate; Schwevers, Ulrich; Tuhtan, Jeffrey AndrewEthohydraulik : Eine Methode für naturverträglichen Wasserbau2021 / S. 45-59 https://doi.org/10.1007/978-3-658-32824-5_4
- Automated area and coverage optimization of minimal latency checkersAzad, Siavoosh Payandeh; Niazmand, Behrad; Apneet Kaur; Raik, Jaan; Jervan, Gert; Hollstein, Thomas2017 22nd IEEE European Test Symposium (ETS 2017), Limassol, Cyprus, 22 – 26 May 2017 : proceedings2017 / p. 7-8 : ill https://doi.org/10.1109/ETS.2017.7968211
- An automated configuration framework for TSN networksHoutan, Bahar; Bergström, Albert; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, Saad2021 22nd IEEE International Conference on Industrial Technology (ICIT) : proceedings2021 / p. 771-778 https://doi.org/10.1109/ICIT46573.2021.9453628
- Automated environmental compliance monitoring of rivers with IoT and open government dataMiasayedava, Lizaveta; McBride, Keegan David Braun; Tuhtan, Jeffrey AndrewJournal of environmental management2022 / art. 114283, 10 p. : ill https://doi.org/10.1016/j.jenvman.2021.114283 https://www.scopus.com/sourceid/23371 https://www.scopus.com/record/display.uri?eid=2-s2.0-85121243081&origin=inward&txGid=bc4a92456aa23805f2dbf9d94c612154 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20ENVIRON%20MANAGE&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000741825300002
- Automated identification of application-dependent safe faults in automotive systems-on-a-chipsBagbaba, Ahmet Cagri; Augusto da Silva, Felipe; Sonza Reorda, Matteo; Hamdioui, Said; Jenihhin, Maksim; Sauer, ChristianElectronics2022 / art. 319 https://doi.org/10.3390/electronics11030319 https://www.scopus.com/sourceid/21100829272 https://www.scopus.com/record/display.uri?eid=2-s2.0-85122936454&origin=inward&txGid=5d6e8d72b1ef5e0219cb0f5191808c5d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ELECTRONICS-SWITZ&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000759878100001
- An automated method for mining high-quality assertion setsHeidari Iman, Mohammad Reza; Raik, Jaan; Jenihhin, Maksim; Jervan, Gert; Ghasempouri, TaraMicroprocessors and microsystems2023 / art. 104773 https://doi.org/10.1016/j.micpro.2023.104773 https://www.scopus.com/sourceid/15552 https://www.scopus.com/record/display.uri?eid=2-s2.0-85146842129&origin=inward&txGid=c7a7060dbe54a8d7b2981d8e5878877f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MICROPROCESS%20MICROSY&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000926500700001
- Automated software-based in-field self-test program synthesisJasnetski, Artjom; Ubar, Raimund-Johannes; Tšertov, AntonInternational journal of microelectronics and computer science2017 / p. 57-64 : ill
- Automated software-based self-test generation for microprocessorsJasnetski, Artjom; Ubar, Raimund-Johannes; Tšertov, AntonProceedings of the 24st International Conference Mixed Design of Integrated Circuits and Systems : MIXDES 2017 : Bydgoszcz, Poland, June 19-21, 20142017 / p. 453-458 : ill https://doi.org/10.23919/MIXDES.2017.8005252
- Automatic generation control of a future multisource power system considering high renewables penetration and electric vehicles: Egyptian Power System in 2035Nour, Morsy; Magdy, Gaber; Chaves-Avila, Jose Pablo; Sanchez-Miralles, Alvaro; Petlenkov, EduardIEEE Access2022 / p. 51662-51681 : ill https://doi.org/10.1109/ACCESS.2022.3174080 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85130844232&origin=inward&txGid=b474982901b5d8e788e3385f49840145 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000797420800001
- Automatic implementation-time usability evaluation for web user interfaces = Veebi kasutajaliidese kasutatavuse automaatne hindamine realisatsioonifaasisMarenkov, Jevgeni2019 https://www.ester.ee/record=b5231048*est
- Automatic visual traffic sign damage detection and measurement of damaged areaErsü, Can; Janson, Karl; Petlenkov, Eduard; Reinsalu, Uljana2024 18th International Conference on Control, Automation, Robotics and Vision (ICARCV)2024 / p. 505-510 https://doi.org/10.1109/ICARCV63323.2024.10821546
- Autonomous last mile shuttle ISEAUTO for education and researchSell, Raivo; Leier, Mairo; Rassõlkin, Anton; Ernits, Juhan-PeepInternational journal of artificial intelligence and machine learning2020 / p. 18−30 https://doi.org/10.4018/IJAIML.2020010102
- AutoRIO : an indoor testbed for developing autonomous vehiclesLoni, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael2018 Proceedings of the Japan-Africa Conference on Electronics, Communications, and Computations (JAC-ECC) : December 16-18, 2018 Alexandria, Egypt2018 / p. 69-72 : ill https://doi.org/10.1109/JEC-ECC.2018.8679543
- AWAIT : an ultra-lightweight soft-error mitigation mechanism for network-on-chip linksJanson, Karl; Pihlak, Rene; Azad, Siavoosh Payandeh; Niazmand, Behrad; Jervan, Gert; Raik, Jaan2018 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Lille, France, July 9th-11th, 20182018 / p. 1-6 : ill https://doi.org/10.1109/ReCoSoC.2018.8449374
- AVB-aware routing and scheduling for critical traffic in time-sensitive networks with preemptionBerisa, Aldin; Zhao, Luxi; Craciunas, Silviu S.; Ashjaei, Mohammad; Mubeen, Saad; Daneshtalab, Masoud; Sjödin, MikaelRTNS '22: Proceedings of the 30th International Conference on Real-Time Networks and Systems2022 / p. 207-218 https://doi.org/10.1145/3534879.3534926
- Backstepping-based output feedback boundary control for coupled fractional reaction-diffusion systemsZhuang, Bo; Cui, Bao-Tong; Lou, Xu-Yang; Chen, JuanZidonghua Xuebao/Acta Automatica Sinica2022 / p. 2729 - 2743 https://doi.org/10.16383/j.aas.c190389 https://www.scopus.com/sourceid/25566 https://www.scopus.com/record/display.uri?eid=2-s2.0-85174396405&origin=resultslist&sort=plf-f&src=s&sid=57e5eeff5e1b1b7846c309a1db042f29&sot=b&sdt=b&s=DOI%2810.16383%2Fj.aas.c190389%29&sl=27&sessionSearchId=57e5eeff5e1b1b7846c309a1db042f29&relpos=0
- Baltic DB&IS 2020 Conference Forum and Doctoral Consortium co-located with the 14th International Baltic Conference on Databases and Information Systems (BalticDB&IS 2020) Tallinn, Estonia, June 16-19, 2020 : proceedings2020 http://ceur-ws.org/Vol-2620/xpreface.pdf http://ceur-ws.org/Vol-2620/
- Baltic Journal of Modern Computing. Selected Papers
from the
14th International Baltic Conference on
Databases and
Information Systems2020 https://www.bjmc.lu.lv/fileadmin/user_upload/lu_portal/projekti/bjmc/Contents/8_4_07a_DB_IS.pdf
- Baltic Workboatsi tehasejuht Erik Aleksejev: Saaremaa laevaehitaja niipea roboteid rakendama ei hakkatoostusuudised.ee2024 https://www.toostusuudised.ee/uudised/2024/05/09/baltic-workboatsi-tehasejuht-erik-aleksejev-saaremaa-laevaehitaja-niipea-roboteid-rakendama-ei-hakka
- Band gap tuning based on adjustable stiffness of local resonatorsAlimohammadi, Hossein; Vassiljeva, Kristina; HosseinNia, Hassan; Petlenkov, EduardMETA 2023 Paris - France : The 13th International Conference on Metamaterials, Photonic Crystals and Plasmonics : proceedings2023 / p. 1743-1744 : ill https://metaconferences.org/META23/files/meta23_proceedings.pdf
- Bandgap dynamics in locally resonant metastructures : a general theory of internal resonator couplingAlimohammadi, Hossein; Vassiljeva, Kristina; HosseinNia, S. Hassan; Petlenkov, EduardApplied Sciences (Switzerland)2024 / art. 2447 https://doi.org/10.3390/app14062447 https://www.scopus.com/sourceid/21100829268 https://www.scopus.com/record/display.uri?eid=2-s2.0-85192536950&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.3390%2Fapp14062447%29&sessionSearchId=9d2463a4c393c098eafee8de7d14eac0&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=APPL%20SCI-BASEL&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001191687100001
- Bandwidth Reduction DoS attacks in Multi-Tenant NoC-based MPSoCs : detection and avoidance strategies = Ribalaiuse vähendamise DoS-rünnakud mitme rentnikuga NoC-põhiste MPSoC-de puhul : tuvastamise ja vältimise strateegiadChaves Arroyave, Cesar Giovanni2023 https://doi.org/10.23658/taltech.8/2023 https://digikogu.taltech.ee/et/Item/60b3f5ee-3a53-43c6-b79e-d6a8cbbc3489 https://www.ester.ee/record=b5548907*est
- BASTION : board and SoC test instrumentation for ageing and no failure foundJutman, Artur; Lotz, Christophe; Larsson, Erik; Sonza Reorda, Matteo; Jenihhin, Maksim; Raik, JaanProceedings of the 2017 Design, Automation & Test in Europe (DATE) : 27-31 March 2017, Swisstech, Lausanne, Switzerland2017 / p. 115-120 : ill https://doi.org/10.23919/DATE.2017.7926968
- Battery storage technologies for electrical applications : impact in stand-alone photovoltaic systemsAkinyele, Daniel; Belikov, Juri; Levron, YoashEnergies2017 / art. 1760, 39 p. : ill https://doi.org/10.3390/en10111760 https://www.scopus.com/sourceid/62932 https://www.scopus.com/record/display.uri?eid=2-s2.0-85036639598&origin=inward&txGid=1a607bbffe1add1bdfb57f8ed15b9e05 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENERGIES&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000417046500079
- Bedload transport measurement in a Japanese gravel river using synchronized hydrodynamic and hydroacoustic pressure sensingTsubaki, R.; Fuentes-Perez, Juan Francisco; Kawamura, S.; Tuhtan, Jeffrey Andrew; Sumitomo, K.River Flow 2020 Proceedings of the 10th Conference on Fluvial Hydraulics (Delft, Netherlands, 7-10 July 2020): River Flow 2020, Online, 7-10 July 20202020 / p. 1476-1482 https://doi.org/10.1201/b22619
- Beispiele aus der Praxis : Ethohydraulische Befunde - was bedeutet das?Lehmann, Boris; Bensing, Katharina; Adam, Beate; Schwevers, Ulrich; Tuhtan, Jeffrey AndrewEthohydraulik : Eine Methode für naturverträglichen Wasserbau2021 / S. 23-43 https://doi.org/10.1007/978-3-658-32824-5_3
- Benchmarking 3D CFD for studies on turbulent flow around fish shaped bodiesKhan, Ali Hassan; Hussmann, Karla Ruiz; Powala, Dennis; Hoerner, Stefan; Kruusmaa, Maarja; Tuhtan, Jeffrey AndrewThe 14th International Symposium on Ecohydraulics : proceedings2022 / S3: Ecohydraulics on Micro-scale https://iahr.oss-accelerate.aliyuncs.com/upload/file/20221009/20221009192523_72824.doc
- Benchmarking advanced security closure of physical layoutsEslami, Mohammad; Knechtel, Johann; Sinanoglu, Ozgur; Karri, Ramesh; Pagliarini, Samuel NascimentoISPD '23 : proceedings of the 2023 International Symposium on Physical Design2023 / p. 256-264 https://doi.org/10.1145/3569052.3578924 https://dl.acm.org/doi/pdf/10.1145/3569052.3578924
- Beyond the surface : exploring fish dynamics with camera based monitoringHaas, Christian; Engelberger, Leon; Schmalz, Maria; Tuhtan, Jeffrey AndrewProceedings of the 15th International Symposium on Ecohydraulics and Fish Passage 2024 (ISE-FP)2024 / 1 p. https://doi.org/10.13140/rg.2.2.35129.38249
- Bilateral output feedback control of fractional PDEs with space-dependent coefficientsChen, Juan; Tepljakov, Aleksei; Petlenkov, Eduard; Zhuang, BoIFAC-PapersOnLine2020 / p. 3743 - 3748 https://doi.org/10.1016/j.ifacol.2020.12.2555 https://www.scopus.com/sourceid/21100456158 https://www.scopus.com/record/display.uri?eid=2-s2.0-85107575644&origin=resultslist&sort=plf-f&src=s&sid=a6fb1194242752ab2b252272d233250c&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22with+space-dependent+coefficients%22%29&sl=23&sessionSearchId=a6fb1194242752ab2b252272d233250c&relpos=1 https://www.webofscience.com/wos/woscc/full-record/WOS:000652592500604
- Bing-CF-IDF+ : a semantics-driven news recommender systemBrocken, Emma; Hartveld, Aron; Koning, Emma de; Hogenboom, Frederik; Frasincar, Flavius; Robal, TarmoAdvanced Information Systems Engineering 31st International Conference, CAiSE 2019, Rome, Italy, June 3–7, 2019, Proceedings2019 / p. 32-47 https://doi.org/10.1007/978-3-030-21290-2_3 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85067345359&origin=inward&txGid=ae20133ec1697e54b4a86ac9a8fe0042 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000495359000003
- Bing-CSF-IDF+: a semantics-driven recommender system for newsHuijsduijnen, Lies Hooft van; Hoogmoed, Thom; Keulers, Geertje; Langendoen, Edmar; Langendoen, Sanne; Vos, Tim; Hogenboom, Frederik; Frasincar, Flavius; Robal, TarmoNew trends in databases and information systems : ADBIS 2020 short papers, Lyon, France, August 25–27, 2020 : proceedings2020 / p. 143−153 https://doi.org/10.1007/978-3-030-54623-6_13 https://www.scopus.com/sourceid/17700155007 https://www.scopus.com/record/display.uri?eid=2-s2.0-85090093981&origin=inward&txGid=da0f2048ab67c05fc1436e02998533ae
- Bio-inspired robotic fish for assessment of injury risks during the fish passageAbbaszadeh, Shokoofeh; Toming, Gert; Tuhtan, Jeffrey Andrew; Leidhold, Roberto; Hoerner, StefanProceedings of the 15th International Symposium on Ecohydraulics and Fish Passage 2024 (ISE-FP)2024 / 1 p. https://www.researchgate.net/publication/381655658_Bio-inspired_robotic_fish_for_assessment_of_injury_risks_during_the_fish_passage
- Bioinspired whisker sensor for 3D mapping of underground mining environmentsGomez, Virgilio; Remmas, Mohamed Walid; Hernando, Miguel; Ristolainen, Asko; Rossi, ClaudioBiomimetics2024 / art. 83 https://doi.org/10.3390/biomimetics9020083
- Biorobootikud uurisid Keila-Joal robotkaladega kalade läbipääseMente et Manu2018 / lk. 7 : fot https://ttu.ee/public/m/mente-et-manu/MM_02_2018/mobile/index.html#p=6 http://www.ester.ee/record=b1242496*est http://dea.digar.ee/publication/AKmenteetmanu https://artiklid.elnet.ee/record=b2862647*est
- Biorobootikute loodud meetodid aitavad kaladel hüdroelektrijaamades ohutumalt liikudaMente et Manu2020 / lk. 32 https://dea.digar.ee/cgi-bin/dea?a=is&oid=AKmenteetmanu202011&type=staticpdf
- Black-box assessment of optical spectrum servicesKaeval, Kaida; Elbers, Jörg-Peter; Grobe, Klaus; Tikas, Marko; Fehenberger, Tobias; Griesser, Helmut; Jervan, Gert2021 Optical Fiber Communications Conference and Exhibition (OFC) : 6-10 June 2021 : San Francisco, CA, USA2021 / 3 p https://ieeexplore.ieee.org/document/9489700
- Blended antilock braking system control method for all-wheel drive electric sport utility vehicleAksjonov, Andrei; Vodovozov, Valery; Augsburg, Klaus; Petlenkov, EduardElectrimacs 2019 : Selected Papers, Vol. 12020 / p. 229-241 https://doi.org/10.1007/978-3-030-37161-6_17 https://www.scopus.com/sourceid/19700186822 https://www.scopus.com/record/display.uri?eid=2-s2.0-85084857937&origin=inward&txGid=760ea2ec3a3d979bfa0503fdba2f5999
- Boundary Mittag-Leffler stabilization of coupled time fractional order reaction–advection–diffusion systems with non-constant coefficientsChen, Juan; Tepljakov, Aleksei; Petlenkov, Eduard; Chen, YangQuan; Zhuang, BoSystems & control letters2021 / art. 104875 https://doi.org/10.1016/j.sysconle.2021.104875 https://www.scopus.com/sourceid/25563 https://www.scopus.com/record/display.uri?eid=2-s2.0-85099802375&origin=inward&txGid=d5f08befbe135cf3b6ee8dcfa8bd555c https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SYST%20CONTROL%20LETT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000632569700011
- Boundary state and output feedbacks for underactuated systems of coupled time-fractional PDEs with different space-dependent diffusivityChen, Juan; Tepljakov, Aleksei; Petlenkov, Eduard; Zhuang, BoInternational journal of systems science2020 / p. 2922-2942 https://doi.org/10.1080/00207721.2020.1803442 https://www.scopus.com/sourceid/12419 https://www.scopus.com/record/display.uri?eid=2-s2.0-85089253635&origin=inward&txGid=d1307e58bb8eb8d5d2619496492ef98b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=INT%20J%20SYST%20SCI&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000557970100001
- Bridging the gap in technology transfer for advanced process control with industrial applicationsVansovitš, Vitali; Petlenkov, Eduard; Tepljakov, Aleksei; Vassiljeva, Kristina; Belikov, JuriSensors2022 / art. 4149 https://doi.org/10.3390/s22114149 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85131742293&origin=inward&txGid=a0d11f9f0f973ae328672bd092c6a4b4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000808733700001
- Brief Communication : mapping river ice using drones and structure from motionAlfredsen, Knut; Haas, Christian; Tuhtan, Jeffrey Andrew; Zinke, PeggyThe cryosphere2018 / p. 627-633 : ill https://doi.org/10.5194/tc-12-627-2018 https://www.scopus.com/sourceid/11700154363 https://www.scopus.com/record/display.uri?eid=2-s2.0-85042535629&origin=inward&txGid=164eabb91d65bea9cdd178db4e807560 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=CRYOSPHERE&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000425729800003
- Building global societies on collective intelligence : challenges and opportunitiesSuran, Shweta; Pattanaik, Vishwajeet; Kurvers, Ralf; Hallin, Carina Antonia; De Liddo, Anna; Krimmer, Robert Johannes; Draheim, DirkDigital government : research and practice2022 / art. 31, p. 1-6 https://doi.org/10.1145/3568169 https://www.scopus.com/sourceid/21101082067 https://www.scopus.com/record/display.uri?eid=2-s2.0-85148960198&origin=inward&txGid=2a2eca9f704037e94db66a1fdc826e79
- CAC 2.0 : a corrupt and correct logic locking technique resilient to structural analysis attacksAksoy, Levent; Yasin, Muhammad; Pagliarini, Samuel2024 IEEE 25th Latin American Test Symposium (LATS) : 9-12 April 2024 (2024)2024 https://doi.org/10.1109/LATS62223.2024.10534592 https://www.scopus.com/record/display.uri?eid=2-s2.0-85195396928&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FLATS62223.2024.10534592%29&sessionSearchId=d348ee9118c8b84895bd9936517e9e5c&relpos=0
- CAC 2.0 : a corrupt and correct logic locking technique resilient to structural analysis attacksAksoy, Levent; Yasin, Muhammad; Pagliarini, Samuel NascimentoarXiv.org2024 / 6 p. : ill https://doi.org/10.48550/arXiv.2401.07142
- CAESAR-MPSoC : dynamic and efficient MPSoC security zonesAzad, Siavoosh Payandeh; Tempelmeier, Michael; Jervan, Gert; Sepulveda, Johanna2019 IEEE Computer Society Annual Symposium on VLSI : ISVLSI 2019 : proceedings2019 / p. 477-482 : ill https://doi.org/10.1109/ISVLSI.2019.00092
- Calculation of probabilistic testability measures for digital circuits with Structurally Synthesized BDDsJürimägi, Lembit; Ubar, Raimund-Johannes; Jenihhin, Maksim; Raik, JaanMicroprocessors and microsystems2020 / art. 103117, 12 p https://doi.org/10.1016/j.micpro.2020.103117 https://www.scopus.com/sourceid/15552 https://www.scopus.com/record/display.uri?eid=2-s2.0-85086377299&origin=inward&txGid=f742e708555546cb12b9117a6e274d64 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MICROPROCESS%20MICROSY&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000571530400009
- Can I have a Mooc2Go, please? On the viability of mobile vs. stationary learningZhao, Yue; Robal, Tarmo; Lofi, Christoph; Hauff, ClaudiaLifelong Technology-Enhanced Learning : 13th European Conference on Technology Enhanced Learning : EC-TEL 2018, Leeds, UK, September 3-5, 2018 : proceedings2018 / p. 101-115 : ill https://doi.org/10.1007/978-3-319-98572-5_8 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85053200112&origin=inward&txGid=2b4a5dcc8b3e1ad05976978420baf8fd https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000552686200008
- Cannabinoids (∆9-THC and CBD) quantitative analysis by in-situ portable drug analyzer Drug HunterGorbatšova, J; Bolkvadze, V; Vaher, Merike; Halling, Evelin; Mazina-Šinkar, JekaterinaFlow Analysis XV, Krakow, Poland, June 26 - July 1, 2022 : book of abstracts2022 / p. 155 https://flowanalysis2022.confer.uj.edu.pl/documents/148482257/0/ksi%C4%85%C5%BCka+2022-05-28+str+numeracja+od+stopki+spad3mm+26_06+g+16.pdf/151f7dba-0b67-4e9b-af55-3f042f9b55ed
- Challenges in using neural networks in safety-critical applicationsForsberg, H.; Linden, Jan; Hjorth, J.; Manefjord, T.; Daneshtalab, MasoudAIAA/IEEE Digital Avionics Systems Conference - Proceedings, 2020 AIAA/IEEE 39th Digital Avionics Systems Conference (DASC), Virtual Conference, October 11-16, 2020 : Proceedings2020 / 7 p https://doi.org/10.1109/DASC50938.2020.9256519
- Challenges of active learning in a view of integrated engineering educationVodovozov, Valery; Raud, Zoja; Petlenkov, EduardEducation Sciences2021 / art. 43 https://doi.org/10.3390/educsci11020043 https://www.scopus.com/sourceid/21100897500 https://www.scopus.com/record/display.uri?eid=2-s2.0-85100401534&origin=inward&txGid=aeefc876ced4a3971bce729fa8a06f15 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=EDUC%20SCI&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000622441000001
- Challenges of microgrids in remote communities: a STEEP model applicationAkinyele, Daniel; Belikov, Juri; Levron, YoashEnergies2018 / art. 432, 35 p. : ill https://doi.org/10.3390/en11020432 https://www.scopus.com/sourceid/62932 https://www.scopus.com/record/display.uri?eid=2-s2.0-85046354045&origin=inward&txGid=b50be669de3fc367ec401993392d7dfb https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENERGIES&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000426734600174
- Challenges of reliability assessment and enhancement in autonomous systemsJenihhin, Maksim; Sonza Reorda, Matteo; Balakrishnan, Aneesh; Alexandrescu, Dan2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2019)2019 / 6 p https://doi.org/10.1109/DFT.2019.8875379
- Challenges, benefits, and open questions in data-driven commercial building cooling optimizationSukhanov, Ivan; Volkova, Anna; Köse, Ahmet; Hani, Allan; Petlenkov, EduardE3S Web of Conferences : BuildSim Nordic 20242024 / art. 06003 https://doi.org/10.1051/e3sconf/202456206003
- Channel performance estimations with extended channel probingKaeval, Kaida; Griesser, Helmut; Grobe, Klaus; Elbers, Jörg-Peter; Tikas, Marko; Jervan, GertPhotonic Networks : 21th ITG-Symposium : 24-25 November 2020 : online2020 / p. 60-64 http://d-nb.info/1223037061 https://ieeexplore.ieee.org/document/9273755
- Characterization of the optical spectrum as a serviceKaeval, Kaida; Jansen, Sander Lars; Spinty, Florian; Grobe, Klaus; Griesser, Helmut; Fehenberger, Tobias; Tikas, Marko; Jervan, GertJournal of Optical Communications and Networking2022 / p. 398-410 https://doi.org/10.1364/JOCN.454158 https://www.scopus.com/sourceid/17900156735 https://www.scopus.com/record/display.uri?eid=2-s2.0-85129513274&origin=inward&txGid=61dfd2db5c4ee6818bad7ad56236b9cb https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20OPT%20COMMUN%20NETW&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000795873600003
- Chip-to-Chip authentication method based on SRAM PUF and public key cryptographyKarageorgos, Ioannis; Isgenc, Mehmet Meric; Pagliarini, Samuel Nascimento; Pileggi, LarryJournal of hardware and systems security2019 / p. 382–396 : ill https://doi.org/10.1007/s41635-019-00080-y
- Classification algorithm improvement for physical activity recognition in maritime environmentsAllik, Ardo; Pilt, Kristjan; Karai, Deniss; Fridolin, Ivo; Leier, Mairo; Jervan, GertWorld Congress on Medical Physics and Biomedical Engineering 2018 : June 3–8, 2018, Prague, Czech Republic (Vol. 3)2019 / p. 13-17 https://doi.org/10.1007/978-981-10-9023-3_3 https://www.scopus.com/sourceid/19400157277 https://www.scopus.com/record/display.uri?eid=2-s2.0-85048305561&origin=inward&txGid=118cbafaf7c49f4cb960c7fbba7af5a9 https://www.webofscience.com/wos/woscc/full-record/WOS:000449744300003
- Classification of benthic biocenoses of the lowland river Tudovka (Tver region, Russia) using community featuresSchletterer, Martin; Füreder, Leopold; Kuzovlev, Vyacheslav V.; Zhenikov, Yuri N.; Fuentes-Pérez, Juan Francisco; Tuhtan, Jeffrey AndrewGeography, environment, sustainability2017 / p. 40-56 : ill https://doi.org/10.24057/2071-9388-2017-10-2-40-56 https://www.scopus.com/sourceid/21100840951 https://www.scopus.com/record/display.uri?eid=2-s2.0-85036577082&origin=inward&txGid=aa59c129116001e1fabdd4a216deb55e
- CLD : an accurate, cost-effective and scalable run-time Cache Leakage DetectorShalabi, Ameer; Ghasempouri, Tara; Ellervee, Peeter; Raik, Jaan2021 24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) : Vienna, Austria, 07-09 April 20212021 / p. 127-132 : ill https://doi.org/10.1109/DDECS52668.2021.9417071
- Combined pseudo-exhaustive and deterministic testing of array multipliersOyeniran, Adeboye Stephen; Azad, Siavoosh Payandeh; Ubar, Raimund-Johannes2018 IEEE International Conference on Automation, Quality and Testing, Robotics (AQTR) : THETA 21st edition, 24th-26th May, Cluj-Napoca, Romania : proceedings2018 / 6 p. : ill https://doi.org/10.1109/AQTR.2018.8402708
- Combining fault analysis technologies for ISO26262 functional safety verificationAugusto da Silva, Felipe; Bagbaba, Ahmet Cagri; Hamdioui, Said; Sauer, Christian2019 IEEE 28th Asian Test Symposium (ATS) : 10–13 December 2019, Kolkata, India : proceedings2019 / p. 129–134 : ill https://doi.org/10.1109/ATS47505.2019.00024
- Comparative analysis of intelligent braking controllers for electric vehiclesVodovozov, Valery; Raud, Zoja; Petlenkov, EduardRenewable energy and power quality journal2022 / p. 55-60 https://doi.org/10.24084/repqj20.217 https://www.scopus.com/sourceid/21100916460 https://www.scopus.com/record/display.uri?eid=2-s2.0-85137931799&origin=inward&txGid=f9a7ef9e449fb979aae159523e94111d
- Comparative analysis of machine learning techniques for non-intrusive load monitoringShabbir, Noman; Vassiljeva, Kristina; Hokmabad, Hossein Nourollahi; Husev, Oleksandr; Petlenkov, Eduard; Belikov, JuriElectronics2024 / art. 1420 https://doi.org/10.3390/electronics13081420
- Comparative evaluation of various generations of Controller Area Network based on timing analysisBerisa, Aldin; Panjevic, Adis; Kovac, Imran; Lyngbäck, Hans; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, Saad2023 IEEE 28th International Conference on Emerging Technologies and Factory Automation (ETFA)2023 / p. 1-8 https://doi.org//10.1109/ETFA54631.2023.10275549
- A comparative study on graph-based ranking algorithms for consumer-oriented demand side managementOnile, Abiodun Emmanuel; Belikov, Juri; Petlenkov, Eduard; Levron, Yoash2021 IEEE Madrid PowerTech2021 / 6 p https://doi.org/10.1109/PowerTech46648.2021.9494752
- Comparing land and underwater gait characteristics with inertial measurement unitsMonoli, Cecilia; Gasparini, Isabella; Piccinini, Luigi; Tuhtan, Jeffrey Andrew; Galli, Manuela26th Congress of the European Society of Biomechanics, July 11-14, 2021, Milan, Italy : conference paper2021 / 2 p. : ill https://www.researchgate.net/publication/353803400_Comparing_Land_and_Underwater_Gait_Characteristics_with_Inertial_Measurement_Units
- Comparing the leaching behavior of phosphorus, aluminum and iron from post-precipitated tertiary sludge and anaerobically digested sewage sludge aiming at phosphorus recoveryMonea, Marlena; Löhr, Dirk Karsten; Meyer, Carsten; Ivanova Drenkova-Tuhtan, AsyaJournal of cleaner production2020 / art. 119129, 8 p. : ill https://doi.org/10.1016/j.jclepro.2019.119129 https://www.scopus.com/sourceid/19167 https://www.scopus.com/record/display.uri?eid=2-s2.0-85075382270&origin=inward&txGid=83fdc13726d532c390239fec73ed7570 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20CLEAN%20PROD&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000505696700047
- Comparison of near-body flow fields of a gudgeon and NACA013 profileKhan, Ali Hassan; Toming, Gert; Hoerner, Stefan; Tuhtan, Jeffrey A.GeoPlanet: Earth and Planetary Sciences2024 / p. 231 - 242 https://doi.org/10.1007/978-3-031-56093-4_18 https://www.scopus.com/sourceid/21100287101 https://www.scopus.com/record/display.uri?eid=2-s2.0-85198041849&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1007%2F978-3-031-56093-4_18%29&sessionSearchId=82ea1e262e947a553c0dfd040e2059dd&relpos=0
- Comparison of predictive equations for basal metabolic rateAllik, Ardo; Mägi, Siiri; Pilt, Kristjan; Karai, Deniss; Fridolin, Ivo; Leier, Mairo; Jervan, GertWireless Mobile Communication and Healthcare : 7th International Conference, MobiHealth 2017, Vienna, Austria, November 14–15, 2017 : proceedings2018 / p. 261-264 : ill https://doi.org/10.1007/978-3-319-98551-0 https://www.scopus.com/sourceid/21100220348 https://www.scopus.com/record/display.uri?eid=2-s2.0-85053112816&origin=inward&txGid=40923c48e1e173e3a44b1b096b899965 https://www.webofscience.com/wos/woscc/full-record/WOS:000481867100030
- Comparison of time-varying phasor and dq0 dynamic models for large transmission networksBelikov, Juri; Levron, YoashInternational journal of electrical power & energy systems2017 / p. 65-74 https://doi.org/10.1016/j.ijepes.2017.05.017 https://www.scopus.com/sourceid/17985 https://www.scopus.com/record/display.uri?eid=2-s2.0-85019709781&origin=inward&txGid=ec5507e28f953e7ed12a93066f868246 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=INT%20J%20ELEC%20POWER&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000407526300006
- Competence based learning - framework, implementation, analysis and management of learning process = Kompetentsipõhine õpe - raamistik, implementatsioon, analüüs ja õppeprotsessi juhtimineUmbleja, Kadri2017 https://digi.lib.ttu.ee/i/?7573 https://www.ester.ee/record=b4670803*est
- Composing graph theory and deep neural networks to evaluate SEU type soft error effectsBalakrishnan, Aneesh; Lange, Thomas; Glorieux, Maximilien; Alexandrescu, Dan; Jenihhin, Maksim9th Mediterranean Conference on Embedded Computing (MECO'2020), Budva, Montenegro, 8-11 June 20202020 https://doi.org/10.1109/MECO49872.2020.9134279
- A comprehensive methodology for stress procedures evaluation and comparison for Burn-In of automotive SoCAppello, D.; Bernardi, P.; Giacopelli, G.; Ruberg, PriitProceedings of the 2017 Design, Automation & Test in Europe (DATE) : 27-31 March 2017, Swisstech, Lausanne, Switzerland2017 / p. 646-649 : ill https://doi.org/10.23919/DATE.2017.7927068
- Comprehensive performance and robustness analysis of 2D turn models for network-on-chipsAzad, Siavoosh Payandeh; Niazmand, Behrad; Janson, Karl; Kogge, Thilo; Raik, Jaan; Jervan, Gert; Hollstein, Thomas2017 IEEE International Symposium on Circuits and Systems (ISCAS)2017 / p. 1476-1479 : ill https://doi.org/10.1109/ISCAS.2017.8050634 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85032697758&origin=inward&txGid=dda03cc1450744ab538f2e32b253fba8 https://www.webofscience.com/wos/woscc/full-record/WOS:000424890101140
- A comprehensive systematic review of integration of time sensitive networking and 5G communicationSatka, Zenepe; Ashjaei, Mohammad; Fotouhi, Hossein; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, SaadJournal of Systems Architecture2023 / art. 102852, 22 p. : ill https://doi.org/10.1016/j.sysarc.2023.102852
- Computational intelligence approach for estimation of vehicle insurancere risk levelVassiljeva, Kristina; Tepljakov, Aleksei; Petlenkov, Eduard; Netšajev, Eduard2017 International Joint Conference on Neural Networks (IJCNN 2017) : Anchorage, Alaska, USA, 14-19 May 20172017 / p. 4073-4078 : ill https://doi.org/10.1109/IJCNN.2017.7966370 https://www.scopus.com/sourceid/96537 https://www.scopus.com/record/display.uri?eid=2-s2.0-85031038976&origin=inward&txGid=ff07b6f5b85e446a6c7238aa62d17ee4 https://www.webofscience.com/wos/woscc/full-record/WOS:000426968704043
- Concatenated GSNR profiles for end-to-end performance estimations in disaggregated networksKaeval, Kaida; Myyry, Jani; Grobe, Klaus; Griesser, Helmut; Jervan, Gert2022 Optical Fiber Communications Conference and Exhibition (OFC) : San Diego, California, USA, 6 – 10 March 2022 : proceedings2022 / 3 p https://ieeexplore.ieee.org/document/9748620/figures#figures
- Conditional fault collapsing in digital circuits with shared structurally synthesized BDDs [Online resource]Jürimägi, Lembit; Ubar, Raimund-JohannesBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p. : ill https://doi.org/10.1109/BEC.2018.8600967
- Constrained intelligent frequency control in an AC Microgrid : an Online reinforcement learning based PID tuning approachNosrati, Komeil; Tepljakov, Aleksei; Petlenkov, Eduard; Škiparev, Vjatšeslav; Belikov, Juri; Levron, Yoash2023 IEEE Power & Energy Society General Meeting (PESGM)2023 / 5 p. : ill https://doi.org/10.1109/PESGM52003.2023.10252482
- Continuous automated ventilation heat recovery efficiency performance assessment using building monitoring systemKull, Tuule Mall; Mikola, Alo; Tukia, Andres; Köse, Ahmet; Petlenkov, Eduard; Thalfeldt, MartinE3S Web Conference: Cold Climate HVAC and Energy 20212021 / art. 10006, 10 p. : ill https://doi.org/10.1051/e3sconf/202124610006 https://www.scopus.com/sourceid/21100795900 https://www.scopus.com/record/display.uri?eid=2-s2.0-85103543605&origin=inward&txGid=dabb828492e11ae31ed5b11f8c1de1ae https://www.webofscience.com/wos/woscc/full-record/WOS:000668011100061
- Continuous, near-bed current velocity estimation using pressure and inertial sensingRistolainen, Asko; Tuhtan, Jeffrey Andrew; Kruusmaa, MaarjaIEEE sensors journal2019 / p. 12398 - 12406 : ill https://doi.org/10.1109/JSEN.2019.2937954 https://www.scopus.com/sourceid/15047 https://www.scopus.com/record/display.uri?eid=2-s2.0-85076367594&origin=inward&txGid=97cf26496ddd59107c5873a9c26e08ba https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20SENS%20J&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000506895500079
- Contributions to the modelling and control of fin-actuated autonomous underwater vehicles = Uimedega autonoomsete allveesõidukite modelleerimis- ja juhtimisloogika edendamineRemmas, Mohamed Walid2023 https://doi.org/10.23658/taltech.71/2023 https://digikogu.taltech.ee/et/Item/17167e2e-2c31-451c-834d-1da98160b2ce https://www.ester.ee/record=b5647340*est
- Control allocation for 6-DOF control of a highly manoeuvrable under-actuated bio-inspired AUVRemmas, Mohamed Walid; Meurer, Christian; Chemori, Ahmed; Kruusmaa, MaarjaIEEE Transactions on Robotics2023
- Control of pick-and-place robots with reduced power consumptionVodovozov, Valery; Raud, Zoja; Petlenkov, Eduard2023 IEEE 64th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, October 9-10, 2023 : conference proceedings2023 / 4 p https://doi.org/10.1109/RTUCON60080.2023.10413180
- Coordinated PI-based frequency deviation control of isolated hybrid microgrid : an online multi-agent tuning approach via reinforcement learningNosrati, Komeil; Tepljakov, Aleksei; Petlenkov, Eduard; Levron, Yoash; Škiparev, Vjatšeslav; Belikov, Juri2022 IEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT-Europe) : proceedings2022 / p. 1-5 : ill https://doi.org/10.1109/ISGT-Europe54678.2022.9960311
- Cost-effective concurrent hardware checkers for network on chip based system on chip = Kulutõhusad süsteemiga paralleelsed rikkemonitorid kiipvõrkudel põhinevatele kiipsüsteemideleHariharan, Ranganathan2019 https://digi.lib.ttu.ee/i/?12854 https://www.ester.ee/record=b5243161*est
- Cost-effective fault tolerance for CNNs using parameter vulnerability based hardening and pruningAhmadilivani, Mohammad Hasan; Mousavi, Seyedhamidreza; Raik, Jaan; Daneshtalab, Masoud; Jenihhin, Maksim2024 IEEE 30th International Symposium on On-line Testing and Robust System Design (IOLTS) : IOLTS 2024 : July 03rd-05th 2024, Rennes, Brittany, France2024 https://doi.org/10.1109/IOLTS60994.2024.10616072 https://www.scopus.com/record/display.uri?eid=2-s2.0-85201385252&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FIOLTS60994.2024.10616072%29&sessionSearchId=82ea1e262e947a553c0dfd040e2059dd&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001293143000020
- Cross-layer dependability management in network on chip based system on chip = Kiipvõrkudel põhinevate süsteemide kihtideülene usaldatavuse haldusAzad, Siavoosh Payandeh2018 https://digi.lib.ttu.ee/i/?9948 https://www.ester.ee/record=b5056143*est
- DADS : decentralized attestation for device swarmsWedaj, Samuel; Paul, Kolin; Ribeiro, Vinay J.ACM Transactions on Privacy and Security2019 / Art. 19 https://doi.org/10.1145/3325822 https://www.scopus.com/sourceid/21100832567 https://www.scopus.com/record/display.uri?eid=2-s2.0-85069542029&origin=inward&txGid=fa88de5f62f2c5949433e431a8d2cb2c https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ACM%20T%20PRIV%20SECUR&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000497128700005
- DASS: Differentiable architecture search for sparse neural networksMousavi, Hamid; Loni, Mohammad; Alibeigi, Mina; Daneshtalab, MasoudACM transactions on embedded computing systems2023 / art. 105, 21 p. : ill https://doi.org/10.1145/3609385 https://www.scopus.com/sourceid/10300153313 https://www.scopus.com/record/display.uri?eid=2-s2.0-85171744110&origin=inward&txGid=9f17b2693e76c47ba5b11c197e3b4b99 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ACM%20T%20EMBED%20COMPUT%20S&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001074334300008
- Data analysis for embedded software performance and energy consumption estimationRuberg, Priit; Liiv, Elvar; Lass, Keijo; Ellervee, Peeter2019 IEEE 2nd Ukraine Conference on Electrical and Computer Engineering : UKRCON-2019 : conference proceedings2019 / p. 928-933 : ill https://doi.org/10.1109/UKRCON.2019.8879787
- Databases and Information Systems : 14th International Baltic Conference, DB&IS 2020, Tallinn, Estonia, June 16-19, 2020 : Proceedings2020 https://doi.org/10.1007/978-3-030-57672-1
- Data-driven baseline generation for post-retrofit energy saving assessment, a comparison of statistical and machine learning methodsKuivjõgi, Helena; Vasman, Sofia; Petlenkov, Eduard; Thalfeldt, Martin; Kurnitski, JarekJournal of building engineering2024 / art. 111016 https://doi.org/10.1016/j.jobe.2024.111016
- Data-driven cross-layer fault management architecture for sensor networksVihman, Lauri; Kruusmaa, Maarja; Raik, Jaan16th European Dependable Computing Conference : EDCC 2020 : Virtual Conference, Munich, Germany, 7-10 September 2020 : proceedings2020 / art. 20094188, p. 33-40 https://doi.org/10.1109/EDCC51268.2020.00015
- Data-driven fault-resilient cross-layer sensor network architecture = Andmepõhine tõrkekindel kihtideülene sensorvõrgu arhitektuurVihman, Lauri2024 https://www.ester.ee/record=b5657135*est https://digikogu.taltech.ee/et/Item/00a93258-dc0f-4a4d-822f-099fff757224 https://doi.org/10.23658/taltech.7/2024
- Data-driven occupancy profile identification and application to the ventilation schedule in a school buildingVassiljeva, Kristina; Matson, Margarita; Ferrantelli, Andrea; Petlenkov, Eduard; Thalfeldt, Martin; Belikov, JuriEnergies2024 / art. 3080 https://doi.org/10.3390/en17133080
- Day-ahead PV output power forecasting utilizing boosting recursive lightGBM-LSTM frameworkHokmabad, Hossein Nourollahi; Husev, Oleksandr; Vinnikov, Dmitri; Belikov, Juri; Petlenkov, EduardIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2023) : proceedings2023 / 5 p https://doi.org/10.1109/ISGTEUROPE56780.2023.10408090
- Deep learning for detection of pavement distress using nonideal photographic imagesTepljakov, Aleksei; Riid, Andri; Pihlak, Rene; Vassiljeva, Kristina; Petlenkov, Eduard2019 42nd International Conference on Telecommunications and Signal Processing (TSP)2019 / p. 195-200 : ill https://doi.org/10.1109/TSP.2019.8769086
- DeepAxe : a framework for exploration of approximation and reliability trade-offs in DNN acceleratorsTaheri, Mahdi; Riazati, Mohamad; Ahmadilivani, Mohammad Hasan; Jenihhin, Maksim; Daneshtalab, Masoud; Raik, Jaan; Sjödin, Mikael; Lisper, BjörnarXiv.org2023 / 8 p. : ill https://doi.org/10.48550/arXiv.2303.08226
- DeepFlexiHLS : Deep Neural Network Flexible High-Level Synthesis Directive GeneratorRiazati, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Lisper, BjörnRiazati, M.; Daneshtalab, M.; Sjodin, M.; Lisper, B.2022 / p. 1-6 https://doi.org/10.1109/NorCAS57515.2022.9934617
- DeepHLS: A complete toolchain for automatic synthesis of deep neural networks to FPGARiazati, Mohammad; Daneshtalab, Masoud; Sjodin, Mikael; Lisper, BjornICECS 2020 - 27th IEEE International Conference on Electronics, Circuits and Systems, November 23-25, 2020, Virtual Conference : Proceedings2020 / 4 p https://doi.org/10.1109/ICECS49266.2020.9294881
- Degree of Dieudonne determinant defines the order of nonlinear systemKotta, Ülle; Belikov, Juri; Halas, Miroslav; Leibak, AlarInternational journal of control2019 / p. 518-527 https://doi.org/10.1080/00207179.2017.1361042 https://www.scopus.com/sourceid/12342 https://www.scopus.com/record/display.uri?eid=2-s2.0-85028537902&origin=inward&txGid=34e521186061b3b50f7108e4ecfa6628 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=INT%20J%20CONTROL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000465220400005
- DenseDisp: Resource-Aware Disparity Map Estimation by Compressing Siamese Neural ArchitectureLoni, Mohammad; Zoljodi, Ali; Maier, Daniel; Majd, Amin; Daneshtalab, Masoud; Sjödin, Mikael; Juurlink, Ben H.H.; Akbari, Reza2020 IEEE Congress on Evolutionary Computation (CEC) : conference proceedings2020 / 8 p https://doi.org/10.1109/CEC48606.2020.9185611
- Dependability improvements of NoC-based systems = Töökindluse parandamine kiipvõrkudel põhinevates süsteemidesNiazmand, Behrad2018 https://digi.lib.ttu.ee/i/?9879 https://www.ester.ee/record=b4907650*est
- Dependence of the EEG nonlinear coupling on the frequency bands and the segment lengthsOrgo, Laura; Bachmann, Maie; Kalev, Kaia; Järvelaid, Mari; Raik, Jaan; Hinrikus, HiieEMBEC & NBC 2017 : joint conference of the European Medical and Biological Engineering Conference (EMBEC) and the Nordic-Baltic Conference on Biomedical Engineering and Medical Physics (NBC), Tampere, Finland, June 20172018 / p. 799-802 https://doi.org/10.1007/978-981-10-5122-7_200 https://www.scopus.com/sourceid/19400157277 https://www.scopus.com/record/display.uri?eid=2-s2.0-85021706630&origin=inward&txGid=d9ca06b3cbed7481b4e9a05b0a727cd1 https://www.webofscience.com/wos/woscc/full-record/WOS:000449778900200
- Depth-dependent hydraulic roughness and its impact on the assessment of hydropeakingKopecki, Ianina; Schneider, Matthias; Tuhtan, Jeffrey AndrewScience of the total environment2017 / p. 1597-1605 : ill https://doi.org/10.1016/j.scitotenv.2016.10.110 https://www.scopus.com/sourceid/25349 https://www.scopus.com/record/display.uri?eid=2-s2.0-85028275243&origin=inward&txGid=c096e2681185215991328a280dc6b169 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SCI%20TOTAL%20ENVIRON&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000390373400160
- Design and verification of secure cache wrapper against access-driven side-channel attacksNiazmand, Behrad; Azad, Siavoosh Payandeh; Jervan, Gert; Sepulveda, JohannaEuromicro Conference on Digital System Design : DSD 2019 : 28 - 30 August 2019 Kallithea, Chalkidiki, Greece : proceedings2019 / p. 672-676 : ill https://doi.org/10.1109/DSD.2019.00108
- Design concept and microarchitecture of network-on-chip with best-effort and guaranteed-throughput servicesSamman, Faizal; Hollstein, ThomasInternational journal of innovative computing, informationand control2019 / p. 305–319 : ill https://doi.org/10.24507/ijicic.15.01.305 http://www.ijicic.net/ http://www.ijicic.net/servlet/Download?contentID=CONT5b67c7cbc23142feade914ceeabbdf4d https://www.scopus.com/sourceid/12000154489 https://www.scopus.com/record/display.uri?eid=2-s2.0-85060435017&origin=inward&txGid=839df23b53e98076448c7da8fb797b9b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=INT%20J%20INNOV%20COMPUT%20I&year=2022 https://www.webofscience.com/wos/woscc/summary/82b4278e-50d5-41df-ae43-6fa19fc290ea-c7a2c890/relevance/1
- Design obfuscation versus testFarahmandi, Farimah; Sinanoglu, Ozgur; Blanton, Ronald; Pagliarini, Samuel Nascimento2020 IEEE European Test Symposium (ETS) : ETS 2020, May 25 - 29, 2020, Tallinn, Estonia2020 / 10 p https://doi.org/10.1109/ETS48528.2020.9131590
- Design of a generalized fractional-order PID controller using operational amplifiersGonzalez, Emmanuel A.; Alimisis, Vassilis; Psychalinos, Costas; Tepljakov, Aleksei2018 25th IEEE International Conference on Electronics Circuits and Systems (ICECS), Bordeaux, France, December 9–12, 20182018 / p. 253-256 : ill http://dx.doi.org/10.1109/ICECS.2018.8617954
- Design of a MATLAB-based teaching tool in introductory fractional-order systems and controls [Electronic resource]Tepljakov, Aleksei; Petlenkov, Eduard; Gonzalez, Emmanuel A.; Petraš, Ivo2017 IEEE Frontiers in Education Conference (FIE) : proceedings2017 / 4 p. : ill [USB] https://doi.org/10.1109/FIE.2017.8190681
- Design space exploration of SABER in 65nm ASICImran, Malik; Almeida, Felipe; Raik, Jaan; Basso, Andrea; Roy, Sujoy Sinha; Pagliarini, Samuel NascimentoASHES '21 : proceedings of the 5th Workshop on Attacks and Solutions in Hardware Security2021 / p. 85-90 https://doi.org/10.1145/3474376.3487278
- Design understanding : from logic to specificationFey, Goerschwin; Ghasempouri, Tara; Jacobs, Swen; Raik, JaanProceedings of the 2018 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) : October 8-10, 2018, Verona, Italy2018 / p. 172–175 : ill https://doi.org/10.1109/VLSI-SoC.2018.8644732
- Designing Compact Convolutional Neural Network for Embedded Stereo Vision SystemsLoni, Mohammad; Majd, Amin; Loni, Abdolah; Daneshtalab, Masoud; Sjödin, Mikael; Troubitsyna, Elena2018 IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip : MCSoC 2018 : proceedings2018 / p. 244–251 : ill https://doi.org/10.1109/MCSoC2018.2018.00049
- Designing reliable cyber-physical systemsAleksandrowicz, Gadi; Arbel, Eli; Bloem, Roderick; Devadze, Sergei; Jenihhin, Maksim; Jutman, Artur; Raik, Jaan; Shibin, KonstantinLanguages, design methods, and tools for electronic system design : selected contributions from FDL 20162018 / p. 15-38 : ill https://doi.org/10.1007/978-3-319-62920-9_2 https://www.scopus.com/sourceid/19700186822 https://www.scopus.com/record/display.uri?eid=2-s2.0-85034454180&origin=inward&txGid=1919701f932d3cb9cce2393c1329c1e1
- Design-time web usability evaluation with guidelinerMakarenkov, Jevgeni; Robal, Tarmo; Kalja, AhtoComplex Systems Informatics and Modeling Quarterly (CSIMQ)2018 / art. 90, p. 90–109 : ill https://doi.org/10.7250/csimq.2018-15.05
- Detecting and mitigating Low-and-Slow DoS attacks in NoC-based MPSoCsChaves Arroyave, Cesar Giovanni; Azad, Siavoosh Payandeh; Sepulveda, Johanna; Hollstein, Thomas2019 14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) : July 1-3 2019, York - United Kingdom : proceedings2019 / p. 82-89 : ill https://doi.org/10.1109/ReCoSoC48741.2019.9034934
- Detection and evaluation of driver distraction using machine learning and fuzzy logicAksjonov, Andrei; Nedoma, Pavel; Vodovozov, Valery; Petlenkov, Eduard; Herrmann, MartinIEEE Transactions on Intelligent Transportation Systems2019 / p. 2048 - 2059 https://doi.org/10.1109/TITS.2018.2857222 https://www.scopus.com/sourceid/18378 https://www.scopus.com/record/display.uri?eid=2-s2.0-85052713176&origin=inward&txGid=fd3034becbdf270425f050cddefcb597 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20INTELL%20TRANSP&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000470039700006
- Determined-safe faults identification : a step towards ISO26262 hardware compliant designsAugusto da Silva, Felipe; Bagbaba, Ahmet Cagri; Sartoni, Sandro; Cantoro, Riccardo; Sonza Reorda, Matteo; Hamdioui, Said; Sauer, Christian2020 25th IEEE European Test Symposium (ETS)2020 / 6 p. : ill https://doi.org/10.1109/ETS48528.2020.9131568
- Developing a translation technique for converged TSN-5G communicationSatka, Zenepe; Pantzar, David; Magnusson, Alexander; Ashjaei, Mohammad; Fotouhi, Hossein; Sjödin, Mikael; Daneshtalab, Masoud; Mubeen, SaadCommunication in Automation : 18th IEEE International Workshop on Factory Communication Systems 2022 : WFCS 20222022 / 8 p https://doi.org/10.1109/WFCS53837.2022.9779191
- Development and experimental assessment of a flexible robot finGkliva, Roza; Sfakiotakis, Michael; Kruusmaa, Maarja2018 IEEE International Conference on Soft Robotics (RoboSoft) : proceedings2018 / p. 208-213 : ill https://doi.org/10.1109/ROBOSOFT.2018.8404921
- Development and testing of a compact voice command recognition algorithm for limited complexity microcontroller devicesUdal, Andres; Riid, Andri; Jaanus, Martin; Pärnamets, Kaiser; Lokuta, Madis2018 22nd International Conference Electronics : Palanga, Lithuania, 18-20 June 20182018 / p. 1-4 : ill http://doi.org/10.1109/ELECTRONICS.2018.8443645
- Development case study of the first Estonian self-driving car, ISEAUTORassõlkin, Anton; Sell, Raivo; Leier, MairoScientific Journal of Riga Technical University. Electrical, control and communication engineering2018 / p. 81-88 : ill https://doi.org/10.2478/ecce-2018-0009
- A DFT scheme to improve coverage of hard-to-detect faults in FinFET SRAMsCardoso Medeiros, Guilherme; Gürsoy, Cemil Cem; Fieback, Moritz; Wu, Lizhou; Jenihhin, Maksim; Taouil, Mottaqiallah; Hamdioui, Said2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 9-13 March 2020, Grenoble, France : proceedings2020 / p. 792-797 https://doi.org/10.23919/DATE48585.2020.9116278
- Diagnosing DoS attacks in NoC-based MPSoCsChaves Arroyave, Cesar Giovanni; Azad, Siavoosh Payandeh; Hollstein, Thomas; Sepulveda, JohannaTestmethoden und Zuverlässigkeit von Schaltungen und Systemen, TUZ 20192019 / p. [39–41] https://www.researchgate.net/publication/333756736
- Differential pressure sensors for underwater speedometry in variable velocity and acceleration conditionsFuentes-Perez, Juan Francisco; Meurer, Christian; Tuhtan, Jeffrey Andrew; Kruusmaa, MaarjaIEEE Journal of Oceanic Engineering2018 / p. 418-426 : ill https://doi.org/10.1109/JOE.2017.2767786 https://www.scopus.com/sourceid/17277 https://www.scopus.com/record/display.uri?eid=2-s2.0-85041383844&origin=inward&txGid=0d2834ebdf9b4e9437763a06191981e8 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20J%20OCEANIC%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000429957500011
- Digitaalsed kaksikud teevad säästlikust energiatarbimisest võistluse [Võrguväljaanne]Harrik, Airikanovaator.err.ee2021 / fot https://novaator.err.ee/1608259206/digitaalsed-kaksikud-teevad-saastlikust-energiatarbimisest-voistluse
- Digital realization of retuning fractional-order controllers for an existing closed-loop control systemTepljakov, Aleksei; Petlenkov, Eduard; Gonzalez, Emmanuel A.; Belikov, JuriJournal of circuits, systems, and computers2017 / art. 1750165, p. 1-26 https://doi.org/10.1142/S0218126617501651 https://www.scopus.com/sourceid/26046 https://www.scopus.com/record/display.uri?eid=2-s2.0-85016052423&origin=inward&txGid=21bb3e7f6b18dc861882ce95af3c1d04 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20CIRCUIT%20SYST%20COMP&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000401324700019
- Digital twin based learning from demonstration system for industrial robotsBondarenko, Yevhen; Pizzagalli, Simone Luca; Kuts, Vladimir; Petlenkov, Eduard; Otto, TaunoProceedings of the ASME 2023 International Mechanical Engineering Congress and Exposition2023
- Digital twin simulations based reinforcement learning for navigation and control of a wheel-on-leg mobile robotAlsaleh, Saleh Ragheb Saleh; Tepljakov, Aleksei; Tamre, Mart; Kuts, Vladimir; Petlenkov, EduardProceedings of ASME 2022 International Mechanical Engineering Congress and Exposition (IMECE2022), 2B: Columbus, Ohio, USA, October 30 - November 3, 20222022 / art. IMECE2022-95411, V02BT02A025, 8 p https://doi.org/10.1115/IMECE2022-95411
- Digital twins in extended reality for control system applicationsJeršov, Stanislav; Tepljakov, Aleksei2020 43rd International Conference on Telecommunications and Signal Processing : TSP 2020, Milan, Italy, July 7-9, 20202020 / art. 162353, p. 274-279 https://doi.org/10.1109/TSP49548.2020.9163557
- Digitalization and real-time control to mitigate environmental impacts along rivers: focus on artificial barriers, hydropower systems and European prioritiesQuaranta, Emanuele; Bejarano, Maria Dolores; Comoglio, Claudio; Fuentes-Pérez, Juan Francisco; Pérez-Díaz, Juan Ignacio; Sanz-Ronda, Francisco Javier; Schletterer, Martin; Szabo-Meszaros, Marcell; Tuhtan, Jeffrey AndrewScience of the total environment2023 / 22 p. : ill https://www.sciencedirect.com/science/article/pii/S0048969723011051 https://doi.org/10.1016/j.scitotenv.2023.162489 https://www.scopus.com/sourceid/25349 https://www.scopus.com/record/display.uri?eid=2-s2.0-85149897831&origin=inward&txGid=fdd4b1613883f039beff2223633c5da0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SCI%20TOTAL%20ENVIRON&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000956085200001
- A Distributed DoS detection scheme for NoC-based MPSoCsChaves Arroyave, Cesar Giovanni; Azad, Siavoosh Payandeh; Hollstein, Thomas; Sepulveda, Johanna2018 IEEE Nordic Circuits and Systems Conference (NORCAS) : NORCHIP and International Symposium of System-on-Chip (SoC) : 30-31 October 2018, Tallinn, Estonia : proceedings in IEEE Xplore2018 / 6 p. : ill https://doi.org/10.1109/NORCHIP.2018.8573524
- Diver tracking in open waters : a low-cost approach based on visual and acoustic sensor fusionRemmas, Mohamed Walid; Chemori, Ahmed; Kruusmaa, MaarjaJournal of field robotics2021 / p. 494–508 https://doi.org/10.1002/rob.21999 https://www.scopus.com/sourceid/4700152301 https://www.scopus.com/record/display.uri?eid=2-s2.0-85096652490&origin=inward&txGid=7b7717f6979a8dfa6379f90090811416 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20FIELD%20ROBOT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000589682000001
- Do cyprinid fish use lateral flow-refuges during hydropeaking?Boavida, Isabel; Costa, Maria Joao; Portela, Maria Manuela; Godinho, Francisco; Tuhtan, Jeffrey Andrew; Pinheiro, Antonio N.River research and applications2023 / p. 554-560 https://doi.org/10.1002/rra.3863 https://www.scopus.com/sourceid/18132 https://www.scopus.com/record/display.uri?eid=2-s2.0-85115296496&origin=inward&txGid=10f0de2a66443dec9f6d42fae42b5fe4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=RIVER%20RES%20APPL&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000697871500001
- DocOnTap : AI-based disease diagnostic system and recommendation systemAbideen, Zain Ul; Khan, Talha Ali; Ali, Raja Hashim; Ali, Nisar; Baig, Muhammad Muneeb; Ali, Muhammad Sajid17th International Conference on Emerging Technologies (ICET), Swabi, Pakistan, 29-30 November 20222022 / p. 148-153 https://doi.org/10.1109/ICET56601.2022.10004621
- Doktorikraad annab juhiloa, mitte töökohaKruusmaa, MaarjaEesti Teaduste Akadeemia aastaraamat = Annales academiae scientarum Estonicae 20162017 / lk. 68-71 : fot http://www.ester.ee/record=b1218094*est
- Doktoritöö aitab droone kuulekamaks muutaReimann, Andresnovaator.err.ee2023 https://novaator.err.ee/1609194445/doktoritoo-aitab-droone-kuulekamaks-muuta https://digikogu.taltech.ee/et/Item/17167e2e-2c31-451c-834d-1da98160b2ce
- DoS attack detection and path collision localization in NoC-based MPSoC architecturesChaves Arroyave, Cesar Giovanni; Azad, Siavoosh Payandeh; Hollstein, Thomas; Sepulveda, JohannaJournal of low power electronics and applications2019 / art. 7, 20 p. : ill https://doi.org/10.3390/jlpea9010007 https://www.scopus.com/sourceid/21100332242 https://www.scopus.com/record/display.uri?eid=2-s2.0-85062466716&origin=inward&txGid=3ac2fb668aacba212c689001c5c2cf74 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20LOW%20POWER%20ELECT%20AP&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000698975600007
- Driver distraction detection and evaluation with artificial neural network and fuzzy logic : In-vehicle information system as a driver's secondary activity: case studyAksjonov, Andrei; Nedoma, Pavel; Vodovozov, Valery; Petlenkov, Eduard2018 IEEE 15th International Workshop on Advanced Motion Control (AMC 2018)2018 / p. 523–528 : ill https://www.researchgate.net/publication/323747995_Driver_Distraction_Detection_and_Evaluation_with_Artificial_Neural_Network_and_Fuzzy_Logic_In-vehicle_information_system_as_a_driver%27s_secondary_activity_Case_study
- Dynamic and distributed security management for NoC Based MPSoCsAzad, Siavoosh Payandeh; Jervan, Gert; Sepulveda, JohannaComputational Science - ICCS 2019 : 19th International Conference, Faro, Portugal, June 12-14, 2019 : Proceedings, Part II2019 / p. 649–662 : ill https://doi.org/10.1007/978-3-030-22741-8_4 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85067702894&origin=inward&txGid=a2b61e312da8739de4a11bd2bea7f959 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000589290600046
- Dynamic modeling of networks, microgrids, and renewable sources in the dq0 reference frame : a surveyBaimel, Dmitry; Belikov, Juri; Guerrero, Joseph M.; Levron, YoashIEEE Access2017 / p. 21323-21335 : ill https://doi.org/10.1109/ACCESS.2017.2758523 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85030775821&origin=inward&txGid=491a1b62c3ce1e83778fa3807379fdac https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000413942100059
- Dynamic modelling of a screw actuator for improved locomotion control on various terrainsRemmas, Mohamed Walid; Gkliva, Roza; Ristolainen, AskoEGU General Assembly 20222022 / EGU22-5726 https://doi.org/10.5194/egusphere-egu22-5726
- Dynamic predictive modeling approach of user behavior in virtual reality based applicationKöse, Ahmet; Tepljakov, Aleksei; Petlenkov, Eduard2019 27th Mediterranean Conference on Control and Automation (MED)2019 / p. 57-62 : ill https://doi.org/10.1109/MED.2019.8798521
- Early RTL analysis for SCA vulnerability in fuzzy extractors of memory-based PUF enabled devicesLai, Xinhui; Jenihhin, Maksim; Selims, GeorgiosarXiv.org2020 / 6 p. : ill https://doi.org/10.48550/arXiv.2008.08409 https://arxiv.org/abs/2008.08409
- Ecohydraulics of non-uniform flows in Vertical Slot FishwaysBranco, Paulo; Fuentes-Pérez, Juan Francisco; Eckert, Mario; Tuhtan, Jeffrey Andrew; Kruusmaa, MaarjaRiverine landscapes as coupled socio-ecological systems : 6th biennial Symposium of the International Society for River Science : book of abstracts2019 / p. 183 https://www.bib.irb.hr/1025362/download/1025362.ISRS2019_book_of_abstracts.pdf
- Ecohydraulics of river flow alterations and impacts on freshwater fishBoavida, Isabel; Díaz-Redondo, Maria; Fuentes-Pérez, Juan Francisco; Hayes, Daniel S.; Jesus, Joaquim; Moreira, Miguel; Belmar, Oscar; Vila-Martínez, Núria; Palau-Nadal, Antoni; Costa, Maria JoãoLimnetica2020 / p. 213-232 https://doi.org/10.23818/limn.39.14 https://www.scopus.com/sourceid/144767 https://www.scopus.com/record/display.uri?eid=2-s2.0-85081133395&origin=resultslist&sort=plf-f&src=s&sid=69f34aeb11ae4b856438e43d9c2cdc74&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22and+impact+on+freshwater+fish%22%29&sl=35&sessionSearchId=69f34aeb11ae4b856438e43d9c2cdc74&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LIMNETICA&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000509685900015
- EcoPeak4Fish : a multidisciplinary project targeting the protection of fish populations affected by hydropeakingBoavida, Isabel; Santos, Jose Maria; Costa, Maria Joao; Leite, Renan; Merianne, Anthony; Portela, Maria Manuela; Godinho, Francisco; Leitao, Pedro; Mota, Rui; Tuhtan, Jeffrey Andrew; Pinheiro, Antonio N.Biology and life sciences forum2022 / p. 85 https://doi.org/10.3390/blsf2022013085
- ECS an endeavor towards providing similar cache reliability behavior in different programsAhmadilivani, Mohammad Hasan; Jahromi, Mohammad Moeini; Salehi, Mostafa E.; Kargar, MonaMicroelectronics Reliability2024 / art. 115295 https://doi.org/10.1016/j.microrel.2023.115295 https://www.scopus.com/sourceid/26717 https://www.scopus.com/record/display.uri?eid=2-s2.0-85178337397&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1016%2Fj.microrel.2023.115295%29&sessionSearchId=0b9f9fcd32592ba1f53a2482eaa5a072&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MICROELECTRON%20RELIAB&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001165956700001
- Edge intelligence resource consumption by UAV-based IR object detectionPolukhin, Andrii; Gordienko, Yuri; Leier, Mairo; Jervan, Gert; Rokovyi, Oleksandr; Alienin, Oleg; Stirenko, SergiiUAVM '23 : proceedings of the 2023 Workshop on UAVs in multimedia : capturing the world from a new perspective2023 / p. 57-61 https://doi.org/10.1145/3607834.3616566
- Edge-to-Fog collaborative computing in a swarm of dronesRahbari, Dadmehr; Alam, Muhammad Mahtab; Le Moullec, Yannick; Jenihhin, MaksimAdvances in Model and Data Engineering in the Digitalization Era : MEDI 2021 International Workshops: DETECT, SIAS, CSMML, BIOC, HEDA, Tallinn, Estonia, June 21–23, 2021 : proceedings2021 / p. 78–87 https://doi.org/10.1007/978-3-030-87657-9_6 https://www.scopus.com/sourceid/17700155007 https://www.scopus.com/record/display.uri?eid=2-s2.0-85117500653&origin=inward&txGid=ef048f683e2d70b5067cd78b5ef1c658
- EEG functional connectivity detects seasonal changesPäeske, Laura; Bachmann, Maie; Raik, Jaan; Hinrikus, HiieWorld Congress on Medical Physics and Biomedical Engineering 2018 : June 3–8, 2018, Prague, Czech Republic (Vol. 2)2018 / p. 237-240 https://doi.org/10.1007/978-981-10-9038-7_44 https://www.scopus.com/sourceid/19400157277 https://www.scopus.com/record/display.uri?eid=2-s2.0-85048222252&origin=inward&txGid=b4432f1cd628ed6acf1cd246d996e5be https://www.webofscience.com/wos/woscc/full-record/WOS:000449742700044
- EEG spectral asymmetry index detects differences between leaders and non-leadersPõld, Toomas; Bachmann, Maie; Orgo, Laura; Kalev, Kaia; Lass, Jaanus; Hinrikus, HiieEMBEC & NBC 2017 : joint conference of the European Medical and Biological Engineering Conference (EMBEC) and the Nordic-Baltic Conference on Biomedical Engineering and Medical Physics (NBC), Tampere, Finland, June 20172018 / p. 17-20 https://doi.org/10.1007/978-981-10-5122-7_5 https://www.scopus.com/sourceid/19400157277 https://www.scopus.com/record/display.uri?eid=2-s2.0-85021708766&origin=inward&txGid=ef02a3ae1062daec8f21c3a62284100a https://www.webofscience.com/wos/woscc/full-record/WOS:000449778900005
- EEG spectral asymmetry is dependent on education level of menPõld, Toomas; Bachmann, Maie; Päeske, Laura; Kalev, Kaia; Lass, Jaanus; Hinrikus, HiieWorld Congress on Medical Physics and Biomedical Engineering 2018 : June 3–8, 2018, Prague, Czech Republic (Vol. 2)2018 / p. 405–408 https://doi.org/10.1007/978-981-10-9038-7_76 https://www.scopus.com/sourceid/19400157277 https://www.scopus.com/record/display.uri?eid=2-s2.0-85048222230&origin=inward&txGid=71678e65cb87c4b98b14b145192008d7 https://www.webofscience.com/wos/woscc/full-record/WOS:000449742700076
- Eesti teadlased loodavad panna putukrobotile pähe tehisaruRaik, Jaannovaator.err.ee2024 https://novaator.err.ee/1609264743/eesti-teadlased-loodavad-panna-putukrobotile-pahe-tehisaru https://nauka.err.ee/1609265532/jestonskie-uchenye-sozdajut-robota-nasekomogo-s-iskusstvennym-intellektom
- Eesti teadlaste kaamerasüsteem teeb kalaseires revolutsiooni, Nagu kala vees – tehisintellekt jõgedesVaaks, Eveliispostimees.ee2024 https://trialoog.taltech.ee/nagu-kala-vees-tehisintellekt-jogedes/ https://maaelu.postimees.ee/8155800/eesti-teadlaste-kaamerasusteem-teeb-kalaseires-revolutsiooni
- Eesti teadlaste loodud süsteem aitab kaladel hüdroelektrijaamas ellu jääda [Võrguväljaanne]Tuhtan, Jeffrey Andrewnovaator.err.ee2020 / fot https://novaator.err.ee/1157348/eesti-teadlaste-loodud-susteem-aitab-kaladel-hudroelektrijaamas-ellu-jaada
- Eesti teaduse nähtamatud hiiglasedRaik, JaanTeadusmõte Eestis (X). Tehnikateadused. 3 : [artiklikogumik]2019 / lk. 161-168 : ill., fot https://www.ester.ee/record=b5208765*est
- Eesti tehisaru teadlased: tulevikus «mõistab» maja elaniku mõtteid ja soovepostimees.ee2024 https://teadus.postimees.ee/8099095/eesti-tehisaru-teadlased-tulevikus-moistab-maja-elaniku-motteid-ja-soove
- Eesti tippteadlane esineb neljapäeval Euroopa suurel kriisiteemalisel sümpoosionilpostimees.ee2023 https://teadus.postimees.ee/7799509/vaata-otse-eesti-tippteadlane-esineb-neljapaeval-euroopa-suurel-kriisiteemalisel-sumpoosionil
- Eesti vajab uusi teadlasiJervan, GertPostimees2021 / Lk. 13 https://dea.digar.ee/article/postimees/2021/06/17/12.6
- Effectiveness of Vertical Slot Fishways under experimental non-uniform flowsEckert, Mario; Fuentes-Pérez, Juan Francisco; Tuhtan, Jeffrey Andrew; Kruusmaa, MaarjaRiverine landscapes as coupled socio-ecological systems : 6th biennial Symposium of the International Society for River Science : book of abstracts2019 / p. 195 https://www.bib.irb.hr/1025362/download/1025362.ISRS2019_book_of_abstracts.pdf
- An efficient analog convolutional neural network hardware accelerator enabled by a novel memoryless architecture for insect-sized robotsDadras, Iman; Ahmadilivani, Mohammad Hasan; Banerji, Saoni; Raik, Jaan; Abloo, Alvo2022 11th International Conference on Modern Circuits and Systems Technologies (MOCAST) : Bremen, Germany : 08-10 June 20222022 / p. 1-6 https://doi.org/10.1109/MOCAST54814.2022.9837551
- Efficient fault injection based on dynamic HDL slicing techniqueBagbaba, Ahmet Cagri; Jenihhin, Maksim; Raik, Jaan; Sauer, Christian2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS 2019) : 1-3 July 2019, Greece2019 / p. 52-53 : ill https://doi.org/10.1109/IOLTS.2019.8854419
- An efficient feature-based method for people countingHelmer, Daniel; Hinkelmann, Heiko; Hollstein, ThomasSAC '23: Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing2023 / p. 852 - 855 https://doi.org/10.1145/3555776.3577801
- An efficient FPGA-based architecture for contractive autoencodersKerner, Madis; Tammemäe, Kalle; Raik, Jaan; Hollstein, Thomas2020 IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 3 – 6 May 2020, Fayetteville, Arkansas : proceedings2020 / p. 230−230 https://doi.org/10.1109/FCCM48280.2020.00062.
- Efficient methodology for ISO26262 functional safety verificationAugusto da Silva, Felipe; Bagbaba, Ahmet Cagri; Hamdioui, Said; Sauer, Christian2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), 1-3 July 2019, Rhodes, Greece2019 / p. 255-256 https://doi.org/10.1109/IOLTS.2019.8854449
- Efficient on-device transfer learning using activation memory reductionYoosefi, Amin; Mousavi, Hamid; Daneshtalab, Masoud; Kargahi, Mehdi2023 Eighth International Conference on Fog and Mobile Edge Computing (FMEC)2023 / p. 210-215 https://doi.org/10.1109/FMEC59375.2023.10306182
- Ein Fisch ist kein Punkt: Analyse von Strömungssignaturen in Fischaufstiegsanlagen mit einem Seitenlinien SensorTuhtan, Jeffrey Andrew; Fuentes-Pérez, Juan Francisco; Toming, Gert; Schneider, Matthias; Schletterer, MartinWasserwirtschaft2018 / S. 48-53 : Ill https://doi.org/10.1007/s35147-018-0015-1 https://www.scopus.com/sourceid/110004 https://www.scopus.com/record/display.uri?eid=2-s2.0-85045107215&origin=inward&txGid=d625bf77158360f3ca7f76948e398892 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=WASSERWIRTSCHAFT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000427046000008
- Einleitung : Ethologie und Hydraulik – wozu wird das benötigt?Lehmann, Boris; Bensing, Katharina; Adam, Beate; Schwevers, Ulrich; Tuhtan, Jeffrey AndrewEthohydraulik : Eine Methode für naturverträglichen Wasserbau2021 / S. 1-9 https://doi.org/10.1007/978-3-658-32824-5_1
- ELC-ECG: efficient LSTM cell for ECG classification based on quantized architectureMirsalari, Seyed Ahmad; Nazari, Najmeh; Ansarmohammadi, Seyed Ali; Sinaei, Sima; Salehi, Mostafa E.; Daneshtalab, Masoud2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea May 22-28, 2021 : proceedings2021 / 5 p https://doi.org/10.1109/ISCAS51556.2021.9401261 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85108992062&origin=inward&txGid=1c2c56223e08d1bc212c6fccdc68171b https://www.webofscience.com/wos/woscc/full-record/WOS:000696765400207
- Elevator passenger in-cabin behaviour – a study on Smart-Elevator PlatformBasov, Kevin; Robal, Tarmo; Reinsalu, Uljana; Leier, MairoDigital Business and Intelligent Systems : 15th International Baltic Conference, Baltic DB&IS 2022, Riga, Latvia, July 4-6, 2022 : proceedings2022 / p. 3-18 : ill https://doi.org/10.1007/978-3-031-09850-5_1 https://www.scopus.com/sourceid/17700155007 https://www.scopus.com/record/display.uri?eid=2-s2.0-85134323560&origin=inward&txGid=93b68375963fdc0e562799c758ae7b5d
- Elimination und Rückgewinnung von Phosphor aus Abwasser mit Hilfe wiederverwendbarer Nanokomposit-MagnetpartikeIvanova Drenkova-Tuhtan, AsyaWasser 2019 : Jahrestagung der Wasserchemischen Gesellschaft, 27.-29. Mai 2019, Erfurt2019 / S. 29–34 : ill http://d-nb.info/1187972673
- Elimination und Rückgewinnung von Phosphor aus Abwasser mithilfe wiederverwendbarer Nanokomposit-MagnetpartikelIvanova Drenkova-Tuhtan, AsyaVom Wasser2019 / S. 37−40 : ill http://www.wasserchemische-gesellschaft.de/de/vom-wasser-das-journal/seiten/vom-wasser https://www.researchgate.net/publication/333641738_Elimination_und_Ruckgewinnung_von_Phosphor_aus_Abwasser_mithilfe_wiederverwendbarer_Nanokomposit-Magnetpartikel
- Elliptic-curve crypto processor for RFID applicationsRashid, Muhammad; Jamal, Sajjad Shaukat; Khan, Sikandar Zulqarnain; Alharbi, Adel R.; Aljaedi, Amer; Imran, MalikApplied Sciences (Switzerland)2021 / art. 7079 https://doi.org/10.3390/app11157079 https://www.scopus.com/sourceid/21100829268 https://www.scopus.com/record/display.uri?eid=2-s2.0-85112666228&origin=resultslist&sort=plf-f&src=s&sid=c5fbe1d759bf21282dc69b96619c9ecf&sot=b&sdt=b&s=DOI%2810.3390%2Fapp11157079%29&sl=31&sessionSearchId=c5fbe1d759bf21282dc69b96619c9ecf&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=APPL%20SCI-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000681948400001
- Elus, surnud ja merel : Meri toob inimeses välja juba muinasmõtlejate kirjeldatud kolmanda oleku, leiab allveetehnoloog Maarja KruusmaaKruusmaa, MaarjaPostimees2020 / Lk. 14 : fot https://heureka.postimees.ee/6863788/elus-surnud-ja-merel-antarktika-reis-toob-inimeses-esile-urgse-oleku?_ga=2.78530071.248236587.1578296660-1045332091.1401712292 https://www.ester.ee/record=b1072778*est
- Embedded instrumentation toolbox for screening marginal defects and outliers for productionOdintsov, Sergei; Jutman, Artur; Devadze, Sergei; Aleksejev, IgorIEEE AUTOTESTCON 2017 : Schaumburg, USA, Sept 11-14, 2017 : proceedings2017 / p. 336-334 : ill https://doi.org/10.1109/AUTEST.2017.8080516
- Embedded software performance estimations at different compiler optimisation levelsRuberg, Priit; Lass, Keijo; Liiv, Elvar; Ellervee, PeeterAdvances in Information, Electronic and Electrical Engineering (AIEEE) : proceedings of the 5th IEEE Workshop, november 24-25, 2017, Riga, Latvia2017 / p. 1-6 : ill https://doi.org/10.1109/AIEEE.2017.8270530
- Emerging role of industry 5.0 digital twins in demand response electricity market and applicationsOnile, Abiodun Emmanuel; Belikov, Juri; Petlenkov, Eduard; Levron, YoashIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2023) : proceedings2023 / 6 p https://doi.org/10.1109/ISGTEUROPE56780.2023.10407322
- Employing channel probing to derive end-of-life service margins for optical spectrum servicesKaeval, Kaida; Slyne, Frank; Troia, Sebastian; Kenny, Eoin; Grobe, Klaus; Griesser, Helmut; Kilper, Daniel C.; Ruffini, Marco; Pedreno-Manresa, Jose-Juan; Patri, Sai Kireet; Jervan, GertJournal of optical communications and networking2023 / p. C63-C73 : ill https://doi.org/10.1364/JOCN.480080 https://www.scopus.com/sourceid/17900156735 https://www.scopus.com/record/display.uri?eid=2-s2.0-85160208322&origin=inward&txGid=72ebb52b32b46c1e32dc125a9fbec8e7 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20OPT%20COMMUN%20NETW&year=2023 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20OPT%20COMMUN%20NETW&year=2023
- Enabling cross-layer reliability and functional safety assessment through ML-based compact modelsAlexandrescu, Dan; Balakrishnan, Aneesh; Lange, Thomas; Glorieux, MaximilienProceedings : 2020 26th IEEE International Symposium on On-Line Testing and Robust System Design : IOLTS 2020, Napoli, Italy, July 13-16, 2020 : virtual edition2020 / 6 p. : ill https://doi.org/10.1109/IOLTS50870.2020.9159750
- Enabling secure MPSoC dynamic operation through protected communicationAzad, Siavoosh Payandeh; Niazmand, Behrad; Jervan, Gert; Sepulveda, Johanna2018 25th IEEE International Conference on Electronics Circuits and Systems (ICECS), Bordeaux, France, December 9–12, 20182018 / p. 481-484 : ill https://doi.org/10.1109/ICECS.2018.8617940
- End-to-end Timing Model Extraction from TSN-Aware Distributed Vehicle SoftwareHoutan, Bahar; Aybek, Mehmet Onur; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, Saad48th Euromicro Conference on Software Engineering and Advanced Applications : SEAA 2022 : proceedings2022 / p. 366-369 https://doi.org/10.1109/SEAA56994.2022.00064
- Energiainformaatika: energia ja infotehnoloogia ühineminePetlenkov, Eduard; Belikov, JuriElektriala2023 / lk. 12-13 : ill., portr https://www.ester.ee/record=b1240496*est
- Energy consumption and performance estimation of embedded software = Sardtarkvara energiatarbe ja jõudluse ennustamineRuberg, Priit2018 https://digi.lib.ttu.ee/i/?10704 https://www.ester.ee/record=b5152952*est
- Energy consumption characteristics based on monitored data : a school case studyVassiljeva, Kristina; Matson, Margarita; Petlenkov, Eduard; Belikov, Juri; Thalfeldt, Martin; Kurnitski, JarekCLIMA 2022 : REHVA 14th HVAC World Congress 22nd-25th May in Rotterdam, The Netherlands2022 / 5 p. : ill https://doi.org/10.34641/clima.2022.274
- Energy efficient behavior modeling for demand side recommender system in solar microgrid applications using multi-agent reinforcement learning modelOnile, Abiodun Emmanuel; Belikov, Juri; Levron, Yoash; Petlenkov, EduardSustainable cities and society2023 / art. 104392, 20 p. : ill https://doi.org/10.1016/j.scs.2023.104392 https://www.scopus.com/sourceid/19700194105 https://www.scopus.com/record/display.uri?eid=2-s2.0-85146423664&origin=inward&txGid=baa0c7d6f6eabc2d63a6f8fd3a9f0fd2 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SUSTAIN%20CITIES%20SOC&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000923802200001
- Energy saving in centrifugal pumps using mutual pressure and flowrate controlSerbin, Aleksandr; Petlenkov, Eduard; Vodovozov, Valery2017 IEEE 58th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) : proceedings : Latvia, Riga, 12-13 October, 20172017 / [5] p. : ill http://dx.doi.org/10.1109/RTUCON.2017.8124805
- Energy-efficient multi-fragment Markov model guided online model-based testing for MPSoCVain, Jüri; Tsiopoulos, Leonidas; Kharchenko, Vyacheslav; Apneet Kaur; Jenihhin, Maksim; Raik, Jaan; Nõmm, SvenGreen IT Engineering: Social, Business and Industrial Applications2019 / p. 273-297 https://doi.org/10.1007/978-3-030-00253-4_12 https://www.scopus.com/sourceid/21100828949 https://www.scopus.com/record/display.uri?eid=2-s2.0-85054186046&origin=inward&txGid=16bdfce30ffe73899ab3f2f9743b2fac
- Energy-efficient operation of linear Delta robots with an artificial intelligence modelVodovozov, Valery; Raud, Zoja; Petlenkov, Eduard; Semjonova, Natalia2024 19th Biennial Baltic Electronics Conference (BEC)2024 / 5 p https://doi.org/10.1109/BEC61458.2024.10737977
- Engineering of an effective automatic dynamic assertion mining platformGhasempouri, Tara; Malburg, Jan; Danese, Alessandro; Pravadelli, Graziano; Fey, Goerschwin; Raik, JaanVLSI-SoC 2019 : 27th IFIP/IEEE International Conference on Very Large Scale Integration : [proceedings]2019 / p. 111-116 : ill https://doi.org/10.1109/VLSI-SoC.2019.8920331
- An enhanced NN-based load frequency control design of MGs : a fractional order modeling methodŠkiparev, Vjatšeslav; Nosrati, Komeil; Belikov, Juri; Tepljakov, Aleksei; Petlenkov, Eduard2023 IEEE 17th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2023 / 6 p https://doi.org/10.1109/CPE-POWERENG58103.2023.10227392
- Enhancing assertion-based verification in hardware designs through data mining algorithms = Andmekaeve algoritmide kasutamine riistvarasüsteemide väidete-põhise verifitseerimise parendamiseksHeidari Iman, Mohammad Reza2024 https://digikogu.taltech.ee/et/Item/622f3660-e890-454d-aa85-7cc505557f77 https://doi.org/10.23658/taltech.37/2024 https://www.ester.ee/record=b5694015*est
- Enhancing bandgap depth in locally resonant metastructures via notch-filtered piezoelectric actuationAlimohammadi, Hossein; Vassiljeva, Kristina; HosseinNia, S. Hassan; Petlenkov, Eduard2024 IEEE International Conference on Industrial Technology (ICIT)2024 https://doi.org/10.1109/ICIT58233.2024.10540976 https://www.scopus.com/sourceid/84128 https://www.scopus.com/record/display.uri?eid=2-s2.0-85195777116&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FICIT58233.2024.10540976%29&sessionSearchId=e480f059ffe0534f81c8c6919542edf7&relpos=0
- Enhancing semantics-driven recommender systems with visual featuresBendouch, Mounir M.; Frasincar, Flavius; Robal, TarmoAdvanced Information Systems Engineering34th International Conference, CAiSE 2022, Leuven, Belgium, June 6-10, 2022, Proceedings2022 / p. 443–459 https://doi.org/10.1007/978-3-031-07472-1_26 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85132742589&origin=inward&txGid=ac79633a46b93b7b2567e75097802ead https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000871751200026
- Enhancing university visitor satisfaction : a human-robot interaction study on the design and perception of a guiding robot assistantLeoste, Janika; Marmor, Kristel; Hollstein, Thomas; Hinkelmann, Heiko; Leoste, Leo Benjamin15th International Conference on Robotics in Education (RiE 2024)2024 https://doi.org/10.1007/978-3-031-67059-6_20 https://www.scopus.com/sourceid/21100901469 https://www.scopus.com/record/display.uri?eid=2-s2.0-85206095431&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1007%2F978-3-031-67059-6_20%29&sessionSearchId=45c919fe8ff54496e2ffa842f2ed2798&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001329863700020
- Ensemble of Tensor Train Decomposition and Quantization Methods for Deep Learning Model CompressionAdemola, Olutosin Ajibola; Petlenkov, Eduard; Leier, Mairo2022 International Joint Conference on Neural Networks (IJCNN)2022 https://doi.org/10.1109/IJCNN55064.2022.9892626 https://www.scopus.com/sourceid/96537 https://www.scopus.com/record/display.uri?eid=2-s2.0-85140779576&origin=inward&txGid=99358af0742f079c1a5fb42285c31068 https://www.webofscience.com/wos/woscc/full-record/WOS:000867070906010
- Environment for innovative university research training in the field of digital testOyeniran, Adeboye Stephen; Ademilua, Tolulope; Kruus, Margus; Ubar, Raimund-Johannes2021 30th Annual Conference of the European Association for Education in Electrical and Information Engineering (EAEEIE)2021 https://doi.org/10.1109/EAEEIE50507.2021.9531003
- Environmentally adaptive fish or no-fish classification for river video fish counters using high-performance desktop and embedded hardwareSoom, Jürgen; Pattanaik, Vishwajeet; Leier, Mairo; Tuhtan, Jeffrey AndrewEcological Informatics2022 / art. 101817, 14 p. : ill https://doi.org/10.1016/j.ecoinf.2022.101817 https://www.scopus.com/sourceid/3100147401 https://www.scopus.com/record/display.uri?eid=2-s2.0-85139842818&origin=inward&txGid=73d1ca477c3f00300de67571848f7f0b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ECOL%20INFORM&year=2021 https://www.webofscience.com/wos/woscc/full-record/WOS:000879197500001
- Equivalent transformations of structurally synthesized BDDs and applicationsJürimägi, Lembit; Ubar, Raimund-Johannes; Viies, Vladimir2019 8th Mediterranean Conference on Embedded Computing (MECO)2019 / 6 p. : ill https://doi.org/10.1109/MECO.2019.8760283
- Estimating fish swimming speed using non-invasive backpacksensors in a laboratory flume at high flow velocitiesKopecki, Iana; Tuhtan, Jeffrey Andrew; Wagner, Falko; Roessger, Tom; Hägele, Tobias; Schneider, Matthias; Hoerner, StefanProceedings of the 15th International Symposium on Ecohydraulics and Fish Passage 2024 (ISE-FP)2024 / 1 p. https://www.sjeweb.de/pdf/ISE_QuebecBackpackSensors_FishSpeed.pdf
- Estimating quality of transmission in a live production network using machine learningMüller, Jasper; Fehenberger, Tobias; Patri, Sai Kireet; Kaeval, Kaida; Griesser, Helmut; Tikas, Marko; Elbers, Jörg-Peter2021 Optical Fiber Communications Conference and Exhibition (OFC) : 6-10 June 2021 : San Francisco, CA, USA2021 / paper Tu1G.2, 3 p https://ieeexplore.ieee.org/abstract/document/9489940
- Estimation of flow turbulence metrics with a lateral line probe and regressionChen, Ke; Tuhtan, Jeffrey Andrew; Fuentes-Pérez, Juan Francisco; Toming, Gert; Musall, Mark; Strokina, Nataliya; Kämäräinen, Joni-Kristian; Kruusmaa, MaarjaIEEE transactions on instrumentation and measurement2017 / p. 651-660 : ill https://doi.org/10.1109/TIM.2017.2658278 https://www.scopus.com/sourceid/15361 https://www.scopus.com/record/display.uri?eid=2-s2.0-85012975378&origin=inward&txGid=79afd692dd48cb499bd4243df75118f4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20INSTRUM%20MEAS&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000396397900008
- Ethohydraulics : a method for nature-compatible hydraulic engineeringLehmann, Boris; Bensing, Katharina; Adam, Beate; Schwevers, Ulrich; Tuhtan, Jeffrey Andrew2022 https://doi.org/10.1007/978-3-658-35416-9
- Ethohydraulik : Eine Methode für naturverträglichen WasserbauLehmann, Boris; Bensing, Katharina; Adam, Beate; Schwevers, Ulrich; Tuhtan, Jeffrey Andrew2021 https://doi.org/10.1007/978-3-658-32824-5
- Evaluating architectural, redundancy, and implementation strategies for radiation hardening of FinFET integrated circuitsPagliarini, Samuel Nascimento; Benites, Luis; Martins, Mayler; Rech, Paolo; Kastensmidt, FernandaIEEE transactions on nuclear science2021 / p. 1045-1053 https://doi.org/10.1109/TNS.2021.3070643 https://www.scopus.com/sourceid/17368 https://www.scopus.com/record/display.uri?eid=2-s2.0-85103797089&origin=inward&txGid=6c92c2fbb6cf4232257945f91e1079ba https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20NUCL%20SCI&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000655537500073
- Evaluating the energy readiness of national building stocks through benchmarkingFerrantelli, Andrea; Belikov, Juri; Petlenkov, Eduard; Thalfeldt, Martin; Kurnitski, JarekIEEE Access2022 / p. 45430-45443 : ill https://doi.org/10.1109/ACCESS.2022.3170716 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85129626695&origin=inward&txGid=82b2c57c2345fb3cc24cd184888ae801 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000790724400001
- Evaluation of deep neural network compression methods for edge devices using weighted score-based ranking schemeAdemola, Olutosin Ajibola; Leier, Mairo; Petlenkov, EduardSensors2021 / art. 7529 https://doi.org/10.3390/s21227529 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85118875058&origin=inward&txGid=ed69db608154cb1f01bc4425568924a7 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000725934100001
- Event-based control for differentially flat systems: application to autonomous underwater vehicleKaldmäe, Arvo; Kotta, Ülle; Meurer, Christian; Simha, AshutoshIFAC-PapersOnLine2019 / p. 180-185 https://doi.org/10.1016/j.ifacol.2019.11.775 https://www.scopus.com/sourceid/21100456158 https://www.scopus.com/record/display.uri?eid=2-s2.0-85077459586&origin=inward&txGid=d260ab533e46e0d870f99eed0d230870 https://www.webofscience.com/wos/woscc/full-record/WOS:000503828500032
- Event-triggered resilient distributed extended Kalman filter with consensus on estimationRezaei, Hossein; Ghorbani, MajidInternational Journal of Robust and Nonlinear Control2022 / p. 1303 - 1315 https://doi.org/10.1002/rnc.5881 https://www.scopus.com/sourceid/17987 https://www.scopus.com/record/display.uri?eid=2-s2.0-85119128672&origin=inward&txGid=daf34c06a9660c01424df90e85b3ab26 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=INT%20J%20ROBUST%20NONLIN&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000719549400001
- An evolutionary field theorem : evolutionary field optimization in training of power-weighted multiplicative neurons for nitrogen oxides-sensitive electronic nose applicationsAlagoz, Baris Baykant; Simsek, Ozlem Imik; Ari, Davut; Tepljakov, Aleksei; Petlenkov, Eduard; Alimohammadi, HosseinSensors2022 / art. 3836 https://doi.org/10.3390/s22103836 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85130267279&origin=inward&txGid=8a1dfc9efd4eb0163030539ddab7f2b2 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000801755200001
- Exact parallel critical path fault tracing to speed-up fault simulation in sequential circuitsKõusaar, Jaak; Ubar, Raimund-Johannes; Kostin, Sergei; Devadze, Sergei; Raik, JaanInternational journal of microelectronics and computer science2018 / p. 9−18 https://ijmcs.dmcs.pl/web/guest/vol.-9-no.-1 https://ijmcs.dmcs.pl/documents/10630/345460/IJMCS_1_2018_2.pdf
- An exhaustive multi-aspect analysis of swarm intelligence algorithms in numerical association rule miningKaushik, Minakshi; Sharma, Rahul; Koiva, Pilleriin; Fister, Iztok; Draheim, DirkIEEE Access2024 / p. 138985 - 139002 https://doi.org/10.1109/ACCESS.2024.3417334 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85196710194&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FACCESS.2024.3417334%29&sessionSearchId=122615d9f955ef6b8e3916961131e6f0&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001327298800001
- The experience of a selfassessment tool for enhancing XR technology adoption in SMEs and HEIs across EuropeKöse, Ahmet; Tepljakov, Aleksei; Alsaleh, Saleh Ragheb Saleh; Petlenkov, EduardExtended Reality: International Conference, XR Salento 2023, Lecce, Italy, September 6-9, 2023, Proceedings, Part I2023 / p. 184-197 https://doi.org/10.1007/978-3-031-43401-3_12 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85172180000&origin=inward&txGid=31d6e32b60e1f59d88466742e450c75c https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:001156975100012
- Experimental demonstration of ML-based DWDM system margin estimationMüller, Jasper; Slyne, Frank; Kaeval, Kaida; Troia, Sebastian; Fehenberger, Tobias; Elbers, Jörg-Peter; Kilper, Daniel C.; Ruffini, Marco; Mas-Machuca, CarmenOptical Fiber Communications Conference and Exhibition, OFC 2023 : Proceedings2023 / paper Tu2F.2., 3 p. : ill https://opg.optica.org/abstract.cfm?URI=OFC-2023-Tu2F.2
- An experimental study of building blocks of lattice-based NIST post-quantum cryptographic algorithmsImran, Malik; Abideen, Zain Ul; Pagliarini, Samuel NascimentoElectronics2020 / art. 1953, 26 p. : ill https://doi.org/10.3390/electronics9111953 https://www.scopus.com/sourceid/21100829272 https://www.scopus.com/record/display.uri?eid=2-s2.0-85096516874&origin=inward&txGid=8ae2f54b5d9b18229c39260c95bb0b68 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ELECTRONICS-SWITZ&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000593628700001
- Experiments with LLMs for converting language to logicTammet, Tanel; Järv, Priit; Verrev, Martin; Draheim, DirkNeural-Symbolic Learning and Reasoning : 18th International Conference, NeSy 2024, Barcelona, Spain, September 9–12, 2024, Proceedings, Part II2024 / p. 305 - 314 https://doi.org/10.1007/978-3-031-71170-1_24 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85204913636&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1007%2F978-3-031-71170-1_24%29&sessionSearchId=45c919fe8ff54496e2ffa842f2ed2798&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001329993800024
- Explainability and transparency of classifiers for air-handling unit faults using explainable artificial intelligence (XAI)Meas, Molika; Machlev, Ram; Köse, Ahmet; Tepljakov, Aleksei; Loo, Lauri; Levron, Yoash; Petlenkov, Eduard; Belikov, JuriSensors2022 / art. 6338 : ill https://doi.org/10.3390/s22176338 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85137870410&origin=inward&txGid=5a2ebc51ea16181bd31f4c3ab94cba4b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000851691400001
- Explainable AI based fault detection and diagnosis system for air handling unitsBelikov, Juri; Meas, Molika; Machlev, Ram; Köse, Ahmet; Tepljakov, Aleksei; Loo, Lauri; Petlenkov, Eduard; Levron, YoashProceedings of the 19th International Conference on Informatics in Control, Automation and Robotics - ICINCO, 2022 , Lisbon, Portugal2022 / p. 271-279 https://doi.org/10.5220/0011350000003271
- Exploring channel probing to determine coherent optical transponder configurations in a long-haul networkKaeval, Kaida; Rafique, Danish; Blawat, Kamil; Grobe, Klaus; Griesser, Helmut; Elbers, Jörg-Peter; Rydlichowski, Piotr; Binczewski, Artur; Tikas, Marko2020 Optical Fiber Communications Conference and Exhibition (OFC) : San Diego, California, USA : 8-12 March 2020 : proceedings2020 / 3 p https://ieeexplore.ieee.org/document/9083392
- Exploring deep learning based object detection architecturSaddique, Muhammad Saddique; Raza, Ahsan; Abideen, Zain Ul; Khan, Shah Nawaz2020 17th International Bhurban Conference on Applied Sciences and Technology (IBCAST), Islamabad, Pakistan, 20202020 / p. 255-259 https://doi.org/10.1109/IBCAST47879.2020.9044558
- Exploring factors in a crossroad dataset using cluster-based association rule miningShahin, Mahtab; Heidari Iman, Mohammad Reza; Kaushik, Minakshi; Sharma, Rahul; Ghasempouri, Tara; Draheim, DirkProcedia computer science2022 / p. 231-238 : ill https://doi.org/10.1016/j.procs.2022.03.032 https://www.scopus.com/sourceid/19700182801 https://www.scopus.com/record/display.uri?eid=2-s2.0-85132188116&origin=inward&txGid=2f2ed8feec1196d5f8ec3b79fcee4c88
- Exploring internally coupled resonator's dynamics and spatial variability in mnetamaterials for vibration suppressionAlimohammadi, Hossein; Vassiljeva, Kristina; HosseinNia, S. Hassan; Petlenkov, EduardActive and Passive Smart Structures and Integrated Systems XVII2024 / art. 1294614 https://doi.org/10.1117/12.3024067 https://www.scopus.com/sourceid/40067 https://www.scopus.com/record/display.uri?eid=2-s2.0-85194761199&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1117%2F12.3024067%29&sessionSearchId=9a261a124a4e95257082f88f23bb8eeb&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001235337900024
- Exploring service margins for optical spectrum servicesKaeval, Kaida; Slyne, Frank; Troia, Sebastian; Kenny, Eoin; Pedreno-Manresa, Jose-Juan; Patri, Sai Kireet; Grobe, Klaus; Kilper, Daniel C.; Ruffini, Marco; Jervan, GertProceedings European Conference on Optical Communication (ECOC 2022), 18-22 September 2022, Basel, Switzerland2022 / art. Mo3B.4, 4 p https://opg.optica.org/abstract.cfm?uri=ECEOC-2022-Mo3B.4
- Exploring the real-world challenges and efficacy of internal coupling in metastructures : an experimental perspectiveAlimohammadi, Hossein; Vassiljeva, Kristina; Hosseinnia, S. Hassan; Ellervee, Peeter; Petlenkov, Eduard2024 International Conference on Electrical, Computer and Energy Technologies (ICECET)2024 https://doi.org/10.1109/ICECET61485.2024.10698725 https://www.scopus.com/record/display.uri?eid=2-s2.0-85207433092&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FICECET61485.2024.10698725%29&sessionSearchId=9a261a124a4e95257082f88f23bb8eeb&relpos=0
- Extended fractional singular Kalman filterNosrati, Komeil; Belikov, Juri; Tepljakov, Aleksei; Petlenkov, EduardApplied mathematics and computation2023 / art. 127950, 15 p. : ill https://doi.org/10.1016/j.amc.2023.127950 https://www.scopus.com/sourceid/25170 https://www.scopus.com/record/display.uri?eid=2-s2.0-85149705951&origin=inward&txGid=4106eddea531e9b8924716b94166defc https://jcr.clarivate.com/jcr-jp/journal-profile?journal=APPL%20MATH%20COMPUT&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000950618400001
- Extended reality in power distribution grid : applications and future trendsNosrati, Komeil; Alsaleh, Saleh; Tepljakov, Aleksei; Petlenkov, Eduard; Onile, Abiodun Emmanuel; Škiparev, Vjatšeslav; Belikov, Juri27th International Conference on Electricity Distribution (CIRED 2023) : Rome, 12-15 June 20232023 / p. 3615 - 3619 https://doi.org/10.1049/icp.2023.0788 https://www.scopus.com/sourceid/21101162960 https://www.scopus.com/record/display.uri?eid=2-s2.0-85181536696&origin=inward&txGid=caa45f5986011e0d9922e8974859d9cf
- Extended reality technologies in small and medium-sized European industrial companies : level of awareness, diffusion and enablers of adoptionJalo, Henri; Pirkkalainen, Henri; Torro, Osku; Pessot, Elena; Zangiacomi, Andrea; Tepljakov, AlekseiVirtual Reality2022 / p. 1745 - 1761 https://doi.org/10.1007/s10055-022-00662-2 https://www.scopus.com/sourceid/144702 https://www.scopus.com/record/display.uri?eid=2-s2.0-85133169913&origin=inward&txGid=508e603c85d01f525cb92b3dfc757e47 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=VIRTUAL%20REAL-LONDON&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000818599000001
- FaCT-LSTM : fast and compact ternary architecture for LSTM recurrent neural networksMirsalari, Seyed Ahmad; Nazari, Najmeh; Sinaei, Sima; Salehi, Mostafa E.; Daneshtalab, MasoudIEEE design & test2022 / p. 45-53 https://doi.org/10.1109/MDAT.2021.3070245
- Fair and individualized project teamwork evaluation for an engineering courseRobal, TarmoEAEEIE 2018 : 28th EAEEIE Annual Conference (EAEEIE), September 26th to 28th, 20182018 / 9 p. : ill http://doi.org/10.1109/EAEEIE.2018.8534256
- Fall detection and activity recognition system for usage in smart work-wear [Online resource]Leier, Mairo; Jervan, Gert; Allik, Ardo; Pilt, Kristjan; Karai, Deniss; Fridolin, IvoBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p.: ill https://doi.org/10.1109/BEC.2018.8600959
- FARMUR: fair adversarial retraining to mitigate unfairness in robustnessMousavi, Seyed Ali; Mousavi, Hamid; Daneshtalab, MasoudAdvances in Databases and Information Systems: 27th European Conference, ADBIS 2023, Barcelona, Spain, September 4–7, 2023 : proceedings2023 / p. 133-145 https://doi.org/10.1007/978-3-031-42914-9_10 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85190517138&origin=inward&txGid=d37dc9a1c5aa19f558a625f60a5071da
- Fast and fair computation offloading management in a swarm of drones using a rating-based federated learning approachRahbari, Dadmehr; Alam, Muhammad Mahtab; Le Moullec, Yannick; Jenihhin, MaksimIEEE Access2021 / p. 113832-113849 https://doi.org/10.1109/ACCESS.2021.3104117 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85113331747&origin=inward&txGid=1caa0e2defc20ef71ae31fa56a81435f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000686754900001
- Fast identification of true critical paths in sequential circuitsUbar, Raimund-Johannes; Kostin, Sergei; Jenihhin, Maksim; Raik, Jaan; Jürimägi, LembitMicroelectronics reliability2018 / p. 252-261 : ill https://doi.org/10.1016/j.microrel.2017.11.027 https://www.scopus.com/sourceid/26717 https://www.scopus.com/record/display.uri?eid=2-s2.0-85041489163&origin=inward&txGid=8f2d9e894f22f2945d867ef5424f7212 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MICROELECTRON%20RELIAB&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000425576300030
- Fast iterative circuits and RAM-based mergers to accelerate data sort in software/hardware systemsSklyarov, Valery; Skliarova, Iouliia; Rjabov, Artjom; Sudnitsõn, AleksanderProceedings of the Estonian Academy of Sciences2017 / p. 323-335 : ill https://doi.org/10.3176/proc.2017.3.07 http://www.ester.ee/record=b2355998*est https://www.scopus.com/sourceid/11500153303 https://www.scopus.com/record/display.uri?eid=2-s2.0-85028310572&origin=inward&txGid=f24c7d9e5835bb4d317ad67057afae88 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=P%20EST%20ACAD%20SCI&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000408394600009
- Fast processing of non-repeated values in hardwareSkliarova, Iouliia; Sklyarov, Valery; Sudnitsõn, AleksanderElektronika ir elektrotechnika = Electronics and electrical engineering2017 / p. 74-77 : ill https://doi.org/10.5755/j01.eie.23.3.18336 https://www.scopus.com/sourceid/19900193212 https://www.scopus.com/record/display.uri?eid=2-s2.0-85020550720&origin=inward&txGid=ba0a7c5b0680f87dea715293a1bfc9f6 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ELEKTRON%20ELEKTROTECH&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000403903600012
- FastStereoNet : A fast neural architecture search for improving the inference of disparity estimation on resource-limited platformsLoni, Mohammad; Zoljodi, Ali; Majd, Amin; Ahn, Byung Hoon; Daneshtalab, Masoud; Sjödin, Mikael; Esmaeilzadeh, HadiIEEE Transactions on Systems, Man, and Cybernetics : Systems2022 / p. 5222-5234 : ill https://doi.org/10.1109/TSMC.2021.3123136 https://www.scopus.com/sourceid/21100262320 https://www.scopus.com/record/display.uri?eid=2-s2.0-85120087918&origin=inward&txGid=b62ae52404ac30b3248be943d3d4f2ff https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20SYST%20MAN%20CY-S&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000732342800001
- Fault-aware performance assessment approach for embedded networksMalburg, Jan; Janson, Karl; Raik, Jaan; Dannemann, Frank2019 22nd International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Cluj-Napoca, Romania : proceedings2019 / 4 p. : ill https://doi.org/10.1109/DDECS.2019.8724670
- Fault-resilient NoC router with transparent resource allocationPutkaradze, Tsotne; Azad, Siavoosh Payandeh; Niazmand, Behrad; Raik, Jaan; Jervan, Gert12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC2017), July 12-14, 2017, Madrid, Spain : proceedings2017 / 8 p. : ill https://doi.org/10.1109/ReCoSoC.2017.8016161 https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=8016161
- A fault-resistant architecture for AES S-box architectureTaheri, Mahdi; Sheikhpour, Saeideh; Ansari, Mohammad Saeed; Mahani, AliJournal of Applied Research in Electrical Engineering2021 / p. 86-92 https://doi.org/10.22055/jaree.2021.36230.1020
- Fault-tolerant control allocation for a bio-inspired underactuated AUV in the presence of actuator failures : design and experimentsRemmas, Mohamed Walid; Chemori, Ahmed; Kruusmaa, MaarjaOcean engineering2023 / art. 115327, 12 p. : ill https://doi.org/10.1016/j.oceaneng.2023.115327 https://www.scopus.com/sourceid/28339 https://www.scopus.com/record/display.uri?eid=2-s2.0-85165195289&origin=inward&txGid=a4ea176cef07b089a0ca254540cc5583 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=OCEAN%20ENG&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001047909600001
- Feasibility of a multi-tier cyber physical system as a self-aware entityTammemäe, Kalle; Hollstein, ThomasAmiES-2017 : Ambient Intelligence and Embedded Systems : International Symposium, 14-16 September, 2017, Vaasa, Finland2017 / 3 p. : ill http://amies-2017.international-symposium.org/abstracts.html#tammemae
- Feasibility study of a DC house connected to a conventional AC distribution networkHokmabad, Hossein Nourollahi; Shabir, Noman; Astapov, Victor; Petlenkov, Eduard; Husev, Oleksandr; Belikov, Juri2024 IEEE 18th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG)2024 / 6 p https://doi.org/10.1109/CPE-POWERENG60842.2024.10604419
- Feasibility study on distributed flow sensing with inertial sensors in aquaculture fish cagesRistolainen, Asko; Piho, Laura; Kruusmaa, MaarjaAquacultural Engineering2022 / art. 102271, 9 p. : ill https://doi.org/10.1016/j.aquaeng.2022.102271 https://www.scopus.com/sourceid/29417 https://www.scopus.com/record/display.uri?eid=2-s2.0-85133967179&origin=inward&txGid=4adb4dddee0edb111835bf4779b19ae5 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=AQUACULT%20ENG&year=2021 https://www.webofscience.com/wos/woscc/full-record/WOS:000838199900002
- Feature article: Firmware updating systems for nanosatellitesSünter, Indrek; Slavinskis, Andris; Kvell, Urmas; Vahter, Andres; Kuuste, Henri; Noorma, Mart; Kutt, Johan; Vendt, Riho; Tarbe, Karl; Pajusalu, Mihkel; Veske, Mihkel; Ilves, TaaviIEEE Aerospace and Electronic Systems Magazine2016 / p. 36 - 44 https://doi.org/10.1109/MAES.2016.150162 https://www.scopus.com/sourceid/25993 https://www.scopus.com/record/display.uri?eid=2-s2.0-84976884548&origin=resultslist&sort=plf-f&src=s&sid=ec6ceae7563257d48689ec2672e7747a&sot=b&sdt=b&s=DOI%2810.1109%2FMAES.2016.150162%29&sl=29&sessionSearchId=ec6ceae7563257d48689ec2672e7747a&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20AERO%20EL%20SYS%20MAG&year=2016 https://www.webofscience.com/wos/woscc/full-record/WOS:000379701600005
- Feature engineering for short-term forecast of energy consumptionSpitšakova, Margarita; Belikov, Juri; Nõu, Kalvi; Petlenkov, EduardProceedings of 2019 IEEE PES : Innovative Smart Grid Technologies Europe : (ISGT-Europe), Bucharest Romania, 29 September - 2 October, 20192019 / 5 p. : ill https://doi.org/10.1109/ISGTEurope.2019.8905698
- Feature engineering of Weather Data for Short-Term Energy Consumption ForecastSinimaa, Maria; Spitšakova, Margarita; Belikov, Juri; Petlenkov, Eduard2021 IEEE Madrid PowerTech2021 / 6 p. https://doi.org/10.1109/PowerTech46648.2021.9494920
- Fischverhalten besser verstehen mithilfe von MultiparameterdatenBensing, Katharina; Tuhtan, Jeffrey Andrew; Lehmann, Boris45. Dresdner Wasserbaukolloquium 2022 : „Nachhaltigkeit im Wasserbau – Umwelt, Transport, Energie“ : Technische Universität Dresden – Fakultät Bauingenieurwesen
Institut für Wasserbau und Technische Hydromechanik2022 / S. 103-113 : Ill https://henry.baw.de/bitstream/20.500.11970/108930/1/10_Fischverhalten_Multiparameterdaten_Bensing_.pdf
- Fish body geometry reduces the upstream velocity profile in subcritical flowing watersBensing, Katharina; Tuhtan, Jeffrey Andrew; Toming, Gert; Khan, Ali Hassan; Lehmann, BorisAquatic sciences2022 / p. 1-14 : ill https://doi.org/10.1007/s00027-022-00863-6 https://www.scopus.com/sourceid/29034 https://www.scopus.com/record/display.uri?eid=2-s2.0-85129252621&origin=inward&txGid=9aba1832907d9bbb0b2158208e85fd61 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=AQUAT%20SCI&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000790226300001
- Fish downstream passage over weirs at low-head hydropower plants : field study of total dissolved gas concentrationsSüss, Gabor; Albayrak, Ismail; Evers, Frederic M.; Tuhtan, Jeffrey Andrew; Boes, RobertProceedings of the 10th International Symposium on Hydraulic Structures2024 / p. 750 - 759 : ill https://doi.org/10.3929/ethz-b-000676021
- Fish fauna and fisheries of large European rivers: examples from the Volga and the DanubeSchletterer, Martin; Kuzovlev, Vyacheslav V.; Zhenikov, Yuri N.; Tuhtan, Jeffrey AndrewHydrobiologia2018 / p. 45-60 : ill https://doi.org/10.1007/s10750-017-3370-5 https://www.scopus.com/sourceid/15168 https://www.scopus.com/record/display.uri?eid=2-s2.0-85028886292&origin=inward&txGid=bfd914badea68d1c5ae7616a8e336ee1 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=HYDROBIOLOGIA&year=2021 https://www.webofscience.com/wos/woscc/full-record/WOS:000427883100005
- Fish under pressure : Examining behavioural responses of Iberian barbel under simulated hydropeaking with instream structuresCosta, Maria Joao; Fuentes-Pérez, Juan Francisco; Boavida, Isabel; Tuhtan, Jeffrey Andrew; Pinheiro, Antonio N.PLoS ONE2019 / art. e021111525, 25 p. : ill https://doi.org/10.1371/journal.pone.0211115 https://www.scopus.com/sourceid/10600153309 https://www.scopus.com/record/display.uri?eid=2-s2.0-85060389944&origin=inward&txGid=b99911c728a2ba97645edf2b0f21a748 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=PLOS%20ONE&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000456442800075
- A flapped paddle-fin for improving underwater propulsive efficiency of oscillatory actuationSimha, Ashutosh; Gkliva, Roza; Kotta, Ülle; Kruusmaa, MaarjaIEEE robotics and automation letters2020 / p. 3176-3181 https://doi.org/10.1109/LRA.2020.2975747 https://www.scopus.com/sourceid/21100900379 https://www.scopus.com/record/display.uri?eid=2-s2.0-85081661729&origin=inward&txGid=d33e5f0618f56df9f42f4691a75a64d3 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ROBOT%20AUTOM%20LET&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000526704900006
- Floor selection proposal for automated travel with smart elevatorReinsalu, Uljana; Robal, Tarmo; Leier, MairoDatabases and Information Systems : 14th International Baltic Conference, DB&IS 2020, Tallinn, Estonia, June 16-19, 2020 : Proceedings2020 https://doi.org/10.1007/978-3-030-57672-1_4 https://www.scopus.com/sourceid/17700155007 https://www.scopus.com/record/display.uri?eid=2-s2.0-85089718551&origin=inward&txGid=6f83d5e542791cd1f971e6416f09b503
- Flow sensing with pressure sensor-based artificial lateral lines : from the laboratory to the field = Veevoolu tajumine rõhusensoritel baseeruvate küljejooneanduritega : laborist välikatseteniFuentes-Pérez, Juan Francisco2019 https://digi.lib.ttu.ee/i/?12014
- Flow velocity estimation using a fish-shaped lateral line probe with product-moment correlation features and a neural networkTuhtan, Jeffrey Andrew; Fuentes-Pérez, Juan Francisco; Toming, Gert; Kruusmaa, MaarjaFlow measurement and instrumentation2017 / p. 1-8 : ill https://doi.org/10.1016/j.flowmeasinst.2016.10.017 https://www.scopus.com/sourceid/15026 https://www.scopus.com/record/display.uri?eid=2-s2.0-84997706200&origin=inward&txGid=7b90354f56871e9d5296029359d344dd https://jcr.clarivate.com/jcr-jp/journal-profile?journal=FLOW%20MEAS%20INSTRUM&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000401377500001
- Fluid body interaction of biomimetic underwater robots = Biomimeetiliste robotite ja vedeliku vastasmõjuToming, Gert2017 https://digi.lib.ttu.ee/i/?7304 https://www.ester.ee/record=b4665016*est
- Flussabwärts gerichtete Fischwanderung an mittelgroßen Fließgewässern in ÖsterreichSchneider, Josef; Ratschan, Clemens; Heisey, Paul; Tuhtan, Jeffrey AndrewWasserwirtschaft2017 / S. 39-44 : Ill https://www.springerprofessional.de/flussabwaerts-gerichtete-fischwanderung-an-mittelgrossen-fliessg/15274054 https://doi.org/10.1007/s35147-017-0207-0 https://www.scopus.com/sourceid/110004 https://www.scopus.com/record/display.uri?eid=2-s2.0-85040195191&origin=inward&txGid=c1567bea9101779d8d5765ed9747952c
- FOMCON toolbox for modeling, design and implementation of fractional-order control systemsTepljakov, Aleksei; Petlenkov, Eduard; Belikov, JuriHandbook of fractional calculus with applications2019 / p. 211–236 https://doi.org/10.1515/9783110571745
- FOMCONpy: Fractional-order modelling and control library for pythonOnyedi, Tobechukwu; Tepljakov, Aleksei; Petlenkov, Eduard2020 43rd International Conference on Telecommunications and Signal Processing : TSP 2020, Milan, Italy, July 7-9, 20202020 / p. 239-245 http://doi.org/10.1109/TSP49548.2020.9163581
- FOPID controllers and their industrial applications: a survey of recent resultsTepljakov, Aleksei; Alagoz, Baris Baykant; Petlenkov, EduardIFAC-PapersOnLine2018 / p. 25-30 https://doi.org/10.1016/j.ifacol.2018.06.014 https://www.scopus.com/sourceid/21100456158 https://www.scopus.com/record/display.uri?eid=2-s2.0-85048791138&origin=inward&txGid=227093d5b1b33bb6d794208d12bbb8b2 https://www.webofscience.com/wos/woscc/full-record/WOS:000435709300006
- FoREnSiC– an automatic debugging environment for C programsBloem, Roderick; Raik, Jaan; Repinski, UrmasEighth Haifa Verification Conference : HVC 2012 : November 6-8, Haifa, Israel : [proceedings]2013 / p. 260-265 : ill https://doi.org/10.1007/978-3-642-39611-3_24 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-84880729810&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1007%2F978-3-642-39611-3_24%29&sessionSearchId=34385ac6d8e7d4f5a83143ddce81b33e
- Forschung und TechnikRost, Ulrich; Weibel, Uwe; Wüst, Steffen; Fuentes-Pérez, Juan Francisco; Tuhtan, Jeffrey AndrewBiologische Durchgängigkeit von Fließgewässern : Ausgewählte Beiträge aus der Fachzeitschrift WasserWirtschaft2017 / S. 491-602 http://dx.doi.org/10.1007/978-3-658-13990-2_6
- Forward and backward walking : multifactorial characterization of gait parametersDonno, Lucia; Monoli, Cecilia; Frigo, Carlo Albino; Galli, ManuelaSensors2023 / art. 4671 https://doi.org/10.3390/s23104671 https://www.scopus.com/sourceid/130124 https://www.scopus.com/sourceid/130124 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000998075200001
- A 4-Stage pipelined architecture for point multiplication of binary huff curvesRashid, Muhammad Imran; Imran, Malik; Jafri, Atif Raza; Mehmood, ZahidJournal of circuits, systems, and computers2020 / art. 2050179 https://doi.org/10.1142/S0218126620501790
- Fractional order model identification of receptor-ligand complexes formation by equivalent electrical circuit modelingAtes, Abdullah; Alagoz, Baris Baykant; Tepljakov, Aleksei; Petlenkov, Eduard2019 International Artificial Intelligence and Data Processing Symposium (IDAP)2019 / 5 p. : ill https://doi.org/10.1109/IDAP.2019.8875913
- Fractional-order modeling and control of dynamic systems : doctoral thesis accepted by Tallinn University of Technology, Tallinn, EstoniaTepljakov, Aleksei2017 http://www.ester.ee/record=b4744055*est http://dx.doi.org/10.1007/978-3-319-52950-9
- Fractional-order modeling and control of ionic polymer-metal composite actuatorTepljakov, Aleksei; Vunder, Veiko; Petlenkov, Eduard; Nakshatharan, S Sunjai; Punning, Andres; Kaparin, Vadim; Belikov, Juri; Aabloo, AlvoSmart materials and structures2019 / 12 p. : ill https://doi.org/10.1088/1361-665X/ab2c75 https://www.scopus.com/sourceid/29859 https://www.scopus.com/record/display.uri?eid=2-s2.0-85070854728&origin=inward&txGid=8bd3a6484a14e6a50dbd79db2a5924c6 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SMART%20MATER%20STRUCT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000477658100001
- From bench to bedsideArezzo, Alberto; Kruusmaa, Maarja; Mylonas, GeorgeIEEE Transactions on Medical Robotics and Bionics2022 / p. 297 - 299 https://doi.org/10.1109/TMRB.2022.3172013 https://www.scopus.com/sourceid/21101060161 https://www.scopus.com/record/display.uri?eid=2-s2.0-85130824342&origin=inward&txGid=73b78c6b84988368707ad7d85ae8e561 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20MED%20ROBOT%20BIO&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000896692200001
- From FPGAs to obfuscated eASICs : design and security trade-offsAbideen, Zain Ul; Perez, Tiago Diadami; Pagliarini, Samuel NascimentoIEEE Asian Hardware-Oriented Security and Trust (AsianHOST)2021 / p. 1-4 https://doi.org/10.1109/AsianHOST53231.2021.9699758
- From online fault detection to fault management in network-on-chips : a ground-up approachAzad, Siavoosh Payandeh; Niazmand, Behrad; Janson, Karl; Nevin, George; Oyeniran, Adeboye Stephen; Putkaradze, Tsotne; Apneet Kaur; Raik, Jaan; Jervan, Gert; Ubar, Raimund-Johannes; Hollstein, ThomasProceedings 2017 IEEE 20th International Symposium on Design and Diagnotics of Electronic Circuit & Systems(DDECS) : April 19-21, 2017, Dresden, Germany2017 / p. 48-53 : ill https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=7934553
- From RTL liveness assertions to cost-effective hardware checkersHariharan, Ranganathan; Ghasempouri, Tara; Niazmand, Behrad; Raik, JaanXXXIII Conference on Design of Circuits and Integrated Systems (DCIS) : proceedings2018 / 6 p. : ill https://doi.org/10.1109/DCIS.2018.8681487
- From virtual characterization to test-chips : DFM analysis through pattern enumerationMartins, Mayler G.A.; Pagliarini, Samuel Nascimento; Isgenc, Mehmet Meric; Pileggi, LarryIEEE transactions on computer-aided design of integrated circuits and systems2020 / p. 520-532 https://doi.org//10.1109/TCAD.2018.2889772
- Functions' algebra in nonlinear control : computational aspects and softwareBelikov, Juri; Kaldmäe, Arvo; Kaparin, Vadim; Kotta, Ülle; Shumsky, Alexey Ye.; Tõnso, Maris; Zhirabok, AlexeyProceedings of the Estonian Academy of Sciences2017 / p. 89-107 https://doi.org/10.3176/proc.2017.1.06 http://www.ester.ee/record=b2355998*est https://www.scopus.com/sourceid/11500153303 https://www.scopus.com/record/display.uri?eid=2-s2.0-85030703057&origin=inward&txGid=6d5138d857744249210be10ac751f148 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=P%20EST%20ACAD%20SCI&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000396591200010
- Fuzzy gradient control of electric vehicles at blended braking with volatile driving conditionsVodovozov, Valery; Petlenkov, Eduard; Aksjonov, Andrei; Raud, ZojaICINCO 2020 : 17th International Conference on Informatics in Control, Automation and Robotics, July 7-9, 2020 : online2020 / p. 250−261 http://wikicfp.com/cfp/servlet/event.showcfp?eventid=97093©ownerid=45217
- Fuzzy logic control of electric vehicles in changing braking conditionsVodovozov, Valery; Raud, Zoja; Aksjonov, Andrei; Petlenkov, Eduard2020 XI International Conference on Electrical Power Drive Systems (ICEPDS), Saint-Petersburg, Russia, October 4-7, 20202020 / art. 20192756, p. 107-112 https://doi.org/10.1109/ICEPDS47235.2020.9249083
- A fuzzy rule-based model for the assessment of macrobenthic habitats under hydropeaking impactSchneider, Matthias; Kopecki, Ianina; Tuhtan, Jeffrey AndrewRiver research and applications2017 / p. 377-387 : ill http://dx.doi.org/10.1002/rra.3079
- Gate-level graph representation learning : a step towards the improved stuck-at faults analysisBalakrishnan, Aneesh; Alexandrescu, Dan; Jenihhin, Maksim; Lange, Thomas; Glorieux, MaximilienProceedings of the Twenty Second International Symposium on Quality Electronic Design (ISQED) : Santa Clara, USA, 7-9 April 20212021 / p. 24-30 https://doi.org/10.1109/ISQED51717.2021.9424256
- Gen Z oriented engineering education in the “Industry 4.0” ageRaud, Zoja; Vodovozov, Valery; Petlenkov, Eduard2023 IEEE 64th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, October 9-10, 2023 : conference proceedings2023 https://doi.org/10.1109/RTUCON60080.2023.10413087
- Genetic programming based identification of an industrial processTarasevich, Maksimilian; Tepljakov, Aleksei; Petlenkov, Eduard; Vansovitš, Vitali44th International Conference on Telecommunications and Signal Processing (TSP)2021 / p. 134-140 https://doi.org/10.1109/TSP52935.2021.9522588
- Genetische Analysen von Fischbeständen: Populationsgenetik und eDNAWeiss, Steven; Deiner, Kristy; Tuhtan, Jeffrey Andrew; Gumpinger, Clemens; Schletterer, MartinWasserwirtschaft2018 / S. 22-29 : Ill https://www.springerprofessional.de/genetische-analysen-von-fischbestaenden-populationsgenetik-und-e/15499156 https://doi.org/10.1007/s35147-018-0008-0 https://www.scopus.com/sourceid/110004 https://www.scopus.com/record/display.uri?eid=2-s2.0-85045111315&origin=inward&txGid=af0d5d7911b154a2df1865adfd6a4728 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=WASSERWIRTSCHAFT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000427046000004
- Gert Jervan: TalTechi uurimisrühma tulemusel on Eesti kui digiriigi eestvedaja paremini kaitstudKald, Indrekituudised.ee2023 https://www.ituudised.ee/uudised/2023/11/24/gert-jervan-taltechi-uurimisruhma-tulemusel-on-eesti-kui-digiriigi-eestvedaja-paremini-kaitstud
- Gert Jervan: tehisintellekti tark kasutamine võib inimkonnale palju kasu tuuakultuur.err.ee2023 https://kultuur.err.ee/1608944063/gert-jervan-tehisintellekti-tark-kasutamine-voib-inimkonnale-palju-kasu-tuua
- Gert Jervan: Tehisintellektiga on praegu nii nagu kunagi tuumarelvadega – tõestada, et me suudame seda tehaTammepuu, Kadri; Jervan, Gerttv.postimees.ee2023 https://tv.postimees.ee/7744003/otse-postimehest-gert-jervan-tehisintellektiga-on-praegu-nii-nagu-kunagi-tuumarelvadega-toestada-et-me-suudame-seda-teha
- G-GPU : a fully-automated generator of GPU-like ASIC acceleratorsPerez, Tiago Diadami; Gonçalves, Marcio M.; Gobatto, Leonardo; Brandalero, Marcelo; Azambuja, Jose Rodrigo; Pagliarini, Samuel Nascimento2022 Design, Automation & Test in Europe Conference & Exhibition (DATE) : 14-23 March 2022 : Antwerp, Belgium2022 / p. 544 - 547 https://doi.org/10.23919/DATE54114.2022.9774758
- Global linearization approach to nonlinear control systems : a brief tutorial overviewBelikov, Juri; Kaldmäe, Arvo; Kotta, ÜlleProceedings of the Estonian Academy of Sciences2017 / p. 243-263 https://doi.org/10.3176/proc.2017.3.01 http://www.ester.ee/record=b2355998*est https://artiklid.elnet.ee/record=b2824300*est https://www.scopus.com/sourceid/11500153303 https://www.scopus.com/record/display.uri?eid=2-s2.0-85028354924&origin=inward&txGid=0ce958b0fe187fe0324cce04c97dda94 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=P%20EST%20ACAD%20SCI&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000408394600002
- Guest editorial : implementation issues in system-on-chipEllervee, Peeter; Nurmi, JariJournal of signal processing systems2017 / p. 269-270 https://doi.org/10.1007/s11265-017-1242-x https://www.scopus.com/sourceid/11400153333 https://www.scopus.com/record/display.uri?eid=2-s2.0-85017177298&origin=inward&txGid=476200af2e160e293e1f7e574b0a4f44 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20SIGNAL%20PROCESS%20SYS&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000399451800001
- Guest editorial : Special issue on parallel, distributed, and network-based processing in next-generation embedded systemsMubeen, Saad; Lo Bello, Lucia; Daneshtalab, Masoud; Saponara, SergioJournal of Systems Architecture : the EUROMICRO Journal2021 / art. 102159 https://doi.org/10.1016/j.sysarc.2021.102159
- Guideliner : a tool to improve Web UI development for better usabilityMarenkov, Jevgeni; Robal, Tarmo; Kalja, AhtoWIMS 2018 : 8th International Conference on Web Intelligence, Mining and Semantics, June 25–27 2018, Novi Sad, Serbia : proceedings2018 / art. 17, 9 p. : ill https://doi.org/10.1145/3227609.3227667 https://www.scopus.com/sourceid/11600154611 https://www.scopus.com/record/display.uri?eid=2-s2.0-85053484278&origin=inward&txGid=4cdc0f784f3f2916846048f2d6cf36ea
- Hall effect sensor-based low-cost flow monitoring device : design and validationEgerer, Margit; Ristolainen, Asko; Piho, Laura; Vihman, Lauri; Kruusmaa, MaarjaIEEE sensors journal2024 / 12 p. : ill https://doi.org/10.1109/JSEN.2024.3354194
- Handling of SETs on NoC links by exploitation of inherent redundancy in circular input buffers [Online resource]Janson, Karl; Pihlak, Rene; Azad, Siavoosh Payandeh; Niazmand, Behrad; Jervan, Gert; Raik, JaanBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p.: ill https://doi.org/10.1109/BEC.2018.8600989
- Hardware obfuscation of digital FIR filtersAksoy, Levent; Hepp, Alexander; Baehr, Johanna; Pagliarini, Samuel Nascimento2022 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS) : Prague, Czech Republic : April 6-8, 2022 : proceedings2022 / p. 68-73 https://doi.org/10.48550/arXiv.2202.10022 https://doi.org/10.1109/DDECS54261.2022.9770141
- Hardware realization of lattice-based post-quantum cryptography = Võrel põhinev post-kvant-krüptograafia riistvaraline realisatsioonImran, Malik2023 https://www.ester.ee/record=b5571216*est https://doi.org/10.23658/taltech.33/2023 https://digikogu.taltech.ee/et/Item/75aeb070-cb8b-4511-beaf-cbea3fca147d https://www.ester.ee/record=b5571216*est
- Hardware trojan insertion in finalized layouts : from methodology to a silicon demonstrationPerez, Tiago Diadami; Pagliarini, Samuel NascimentoIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems2023 / p. 2094-2107 https://doi.org/10.1109/TCAD.2022.3223846 https://www.scopus.com/sourceid/27724 https://www.scopus.com/record/display.uri?eid=2-s2.0-85144011739&origin=resultslist&sort=plf-f&src=s&sid=f6bea21f940b112407e8b3b930cd5a56&sot=b&sdt=b&s=DOI%2810.1109%2FTCAD.2022.3223846%29&sl=141&sessionSearchId=f6bea21f940b112407e8b3b930cd5a56 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20COMPUT%20AID%20D&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:001017411600002
- Hardware Trojans for confidence reduction and misclassifications on neural networksGrailoo, Mahdieh; Leier, Mairo; Pagliarini, Samuel NascimentoProceedings Of The Twenty Third International Symposium On Quality Electronic Design (ISQED 2022)2022 / art. 180541, p. 230-235 https://doi.org/10.1109/ISQED54688.2022.9806246
- Hardware-in-the-Loop test of an open loop fuzzy control method for decoupled electro-hydraulic antilock braking systemAksjonov, Andrei; Ricciardi, Vincenzo; Augsburg, Klaus; Vodovozov, Valery; Petlenkov, EduardIEEE transactions on fuzzy systems2020 / p. 965-975: ill https://doi.org/10.1109/TFUZZ.2020.2965868 https://www.scopus.com/sourceid/24242 https://www.scopus.com/record/display.uri?eid=2-s2.0-85105581202&origin=inward&txGid=0bdc0e003f0cb31d6d571e2cc759f39c https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20FUZZY%20SYST&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000648333700002
- Harvesting energy and stability insights in internally coupled resonator systemsAlimohammadi, Hossein; Vassiljeva, Kristina; HosseinNia, S. Hassan; Petlenkov, EduardProceedings, IEEE 18th International Conference on Advanced Motion Control, AMC2024, February 28-March 1, 20242024 / p. 1-6 : ill https://doi.org/10.1109/AMC58169.2024.10505680
- Hea teaduse odav väljamüükUbar, Raimund-JohannesPostimees2017 / lk. 6 https://teadus.postimees.ee/4343295/raimund-ubar-hea-teaduse-odav-valjamuuk
- Health management for self-aware SoCs based on IEEE 1687 infrastructureShibin, Konstantin; Devadze, Sergei; Jutman, Artur; Grabmann, Martin; Pricken, RobinIEEE Design & Test2017 / p. 27-35 : ill https://doi.org/10.1109/MDAT.2017.2750902 https://www.scopus.com/sourceid/21100286806 https://www.scopus.com/record/display.uri?eid=2-s2.0-85037723856&origin=inward&txGid=43952d2af7eb29d9046c148b7fce363e https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20DES%20TEST&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000416261800004
- A hierarchical approach for devising area efficient concurrent online checkersNiazmand, Behrad; Azad, Siavoosh Payandeh; Ghasempouri, Tara; Raik, Jaan; Jervan, GertProceedings 2nd IEEE International Test Conference in Asia : ITC-Asia 2018, 15-17 August 2018, Harbin, China2018 / p. 139-144 : ill https://doi.org/10.1109/ITC-Asia.2018.00034
- Hierarchical attention network to manage processing resources of CPSsTammemäe, KalleSelPhyS Program2017 https://www.ict.tuwien.ac.at/selphys2017/program
- Hierarchical conceptual clustering based on quantile method for identifying microscopic details in distributional dataUmbleja, Kadri; Ichino, Manabu; Yaguchi, HiroyukiAdvances in data analysis and classification2020 / 30 p. : ill https://doi.org/10.1007/s11634-020-00411-w
- Hierarchical temporal memory implementation on FPGA using LFSR based spatial poolerKerner, Madis; Tammemäe, KalleProceedings 2017 IEEE 20th International Symposium on Design and Diagnotics of Electronic Circuit & Systems(DDECS) : April 19-21, 2017, Dresden, Germany2017 / p. 92-95 https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=7934553
- Hierarchical timing-critical paths analysis in sequential circuitsJürimägi, Lembit; Ubar, Raimund-Johannes; Jenihhin, Maksim; Raik, Jaan; Devadze, Sergei; Kostin, Sergei2018 IEEE 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS 2018) : 2 – 4 July 2018, Spain2018 / 6 p. : ill https://doi.org/10.1109/PATMOS.2018.8464176
- High-level combined deterministic and pseudo-exhuastive test generation for RISC processorsOyeniran, Adeboye Stephen; Ubar, Raimund-Johannes; Jenihhin, Maksim; Gürsoy, Cemil Cem; Raik, Jaan2019 IEEE European Test Symposium (ETS) : proceedings2019 / 6 p. : ill https://doi.org/10.1109/ETS.2019.8791526
- High-Level Combined Deterministic and Pseudo-exhuastive Test Generation for RISC ProcessorsOyeniran, Adeboye Stephen; Ubar, Raimund-Johannes; Jenihhin, Maksim; Raik, Jaan2019 IEEE European Test Symposium (ETS) : ETS 2019, May 27-31, 2019, Baden-Baden, Germany : Proceedings2019 / 6 p. : ill https://doi.org/10.1109/ETS.2019.8791526
- High-level fault diagnosis in RISC processors with Implementation-Independent Functional TestOyeniran, Adeboye Stephen; Jenihhin, Maksim; Raik, Jaan; Ubar, Raimund-Johannes2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI) : Nicosia, Cyprus : 04-06 July 20222022 / p. 32-37 https://doi.org/10.1109/ISVLSI54635.2022.00019
- High-level functional test generation for microprocessor modulesOyeniran, Adeboye Stephen; Ubar, Raimund-JohannesProceedings of 26th International Conference Mixed Design of Integrated Circuits and Systems : MIXDES 2019 : Rzeszów, Poland, June 27 - 29, 20192019 / p. 356-361 : ill https://doi.org/10.23919/MIXDES.2019.8787131
- High-Level Implementation-Independent Functional Software-Based Self-Test for RISC ProcessorsOyeniran, Adeboye Stephen; Ubar, Raimund-Johannes; Jenihhin, Maksim; Raik, JaanJournal of electronic testing : theory and applications2020 / p. 87-103 https://doi.org/10.1007/s10836-020-05856-7
- High-level implementation-independent software-based self-test for RISC type microprocessors = Mikroprotsessorite tarkvarapõhine implementatsioonist mittesõltuv funktsionaalne enesekontrollOyeniran, Adeboye Stephen2020 https://digikogu.taltech.ee/et/Item/08a75fbb-3f71-4fe4-b3d0-3f37a9a5f36d
- High-level intellectual property obfuscation via decoy constantsAksoy, Levent; Nguyen, Quang-Linh; Almeida, Felipe; Raik, Jaan; Flottes, Marie-Lise; Dupuis, Sophie; Pagliarini, Samuel Nascimento2021 IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS) : Torino, Italy, 28-30 June 20212021 / p. 1-7 https://doi.org/10.1109/IOLTS52814.2021.9486714
- High-level test data generation for software based self-test in microprocessorsOyeniran, Adeboye Stephen; Jasnetski, Artjom; Tšertov, Anton; Ubar, Raimund-Johannes2017 6th Mediterranean Conference on Embedded Computing (MECO) : including ECYPS'2017 : proceedings : research monograph : Bar, Montenegro, June 11th-15th, 20172017 / p. 86-91 : ill https://doi.org/10.1109/MECO.2017.7977167
- High-level test generation for processing elements in many-core systemsOyeniran, Adeboye Stephen; Ubar, Raimund-Johannes; Azad, Siavoosh Payandeh; Raik, Jaan12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC2017), July 12-14, 2017, Madrid, Spain : proceedings2017 / 8 p. : ill http://dx.doi.org/10.1109/ReCoSoC.2017.8016156
- A high-performance MEMRISTOR-based Smith-Waterman DNA sequence alignment using FPNI structureTaheri, Mahdi; Zandevakili, Hamed; Mahani, AliJournal of Applied Research in Electrical Engineering2021 / p. 59-68 https://doi.org/10.22055/jaree.2021.36117.1016
- High-speed design of postquantum cryptography with optimized hashing and multiplicationImran, Malik; Aikata, Aikata; Roy, Sujoy Sinha; Pagliarini, Samuel NascimentoIEEE Transactions on Circuits and Systems II : Express Briefs2023 / p. 847-851 : ill https://doi.org//10.1109/TCSII.2023.3273821
- High-speed SABER key encapsulation mechanism in 65nm CMOSImran, Malik; Almeida, Felipe; Basso, Andrea; Roy, Sujoy Sinha; Pagliarini, Samuel NascimentoJournal of cryptographic engineering2023 / p. 461-471 : ill https://doi.org/10.1007/s13389-023-00316-2 https://www.scopus.com/sourceid/21100266502 https://www.scopus.com/record/display.uri?eid=2-s2.0-85151318828&origin=inward&txGid=9cfbc0e899663ad56e41cb0759f4e969 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20CRYPTOGR%20ENG&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000960662600001
- Hirm masinate ees: tehisintellekti liiga kiirele arengule tõmmatakse piduritStrandberg, Marek; Einama, KaidoPostimees2023 / lk. 5 https://dea.digar.ee/article/postimees/2023/03/31/3.11 https://teadus.postimees.ee/7743850/hirm-masinate-ees-tehisintellekti-liiga-kiirele-arengule-tahetakse-tommata-pidurit
- HLS-based optimization of tau triggering algorithm for LHC: a case studyCherezova, Natalia; Mihhailov, Dmitri; Devadze, Sergei; Jutman, Artur2022 18th Biennial Baltic Electronics Conference (BEC)2022 / 6 p. : ill https://doi.org/10.1109/BEC56180.2022.9935599
- Holistic IJTAG-based external and internal fault monitoring in UAVsAhmed, Foisal; Jenihhin, MaksimarXiv.org2023 / 6 p. : ill https://doi.org/10.48550/arXiv.2303.01816
- How do You ride an elevator? Passenger in-cabin behavior analysis on a smart-elevator platformRobal, Tarmo; Reinsalu, UljanaSmart Life and Smart Life Engineering : Current State and Future Vision2025 / p. 209 - 236 https://doi.org/10.1007/978-3-031-75887-4_10
- Hybrid protection of digital FIR filtersAksoy, Levent; Nguyen, Quang-Linh; Almeida, Felipe; Raik, Jaan; Flottes, Marie-Lise; Dupuis, Sophie; Pagliarini, Samuel NascimentoIEEE transactions on Very Large Scale Integration (VLSI) Systems2023 / p. 812-825 : ill https://doi.org/10.1109/TVLSI.2023.3253641 https://www.scopus.com/sourceid/12300 https://www.scopus.com/record/display.uri?eid=2-s2.0-85151356738&origin=inward&txGid=2cbf13db49fa5db5d3e09767a51c47df https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20VLSI%20SYST&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000953458300001
- Hydraulics of vertical-slot fishways: nonuniform profilesFuentes-Pérez, Juan Francisco; Tuhtan, Jeffrey Andrew; Eckert, Mario; Romao, F.; Ferreira, Maria Teresa; Kruusmaa, Maarja; Branco, PauloJournal of hydraulic engineering2019 / p. 06018020-1 - 06018020-6 : ill https://doi.org/10.1061/(ASCE)HY.1943-7900.0001565 https://www.scopus.com/sourceid/16283 https://www.scopus.com/record/display.uri?eid=2-s2.0-85055475123&origin=inward&txGid=388313b66c1beae8019222251d18f748 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20HYDRAUL%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000453215300006
- Hydroacoustic and pressure turbulence analysis for the assessment of fish presence and behavior upstream of a vertical trash rack at a run-of-river hydropower plantSchmidt, Marc B.; Tuhtan, Jeffrey Andrew; Schletterer, MartinApplied sciences2018 / art. 1723, 20 p. : ill https://doi.org/10.3390/app8101723 https://www.scopus.com/sourceid/21100829268 https://www.scopus.com/record/display.uri?eid=2-s2.0-85054098960&origin=inward&txGid=dfba68ee3f0443e62942698485afd2a6 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=APPL%20SCI-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000448653700018
- Hydromorphological classification using synchronous pressure and inertial sensingRistolainen, Asko; Kalev, Kaia; Tuhtan, Jeffrey Andrew; Kuusik, Alar; Kruusmaa, MaarjaIEEE transactions on geoscience and remote sensing2018 / p. 3222-3232 : ill https://doi.org/10.1109/TGRS.2018.2795641 https://www.scopus.com/sourceid/17360 https://www.scopus.com/record/display.uri?eid=2-s2.0-85041853723&origin=inward&txGid=77adc6ae5249baabbb1507de546acffd https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20GEOSCI%20REMOTE&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000433328400017
- I paneel. Ülikooli missioon ühiskonnas ning kõrghariduse, teaduspoliitika ja haridusteaduste kestlikkusLand, Tiit; Valk, Aune; Raidal, Martti; Kruusmaa, Maarja; Lauristin, MarjuHaridus - meie ühine vastutus : Eesti Haridusfoorum 2018-2020 : ettekannete ja artiklite kogumik2020 / lk. 36-43 : fot https://www.ester.ee/record=b5397258*est
- Identification of seismic signals at the output of large ring laser gyroscopeTari, J.B.; Eno, N.AEarth Observing Systems XXIII, 21-23 August 2018, San Diego, California, United States2018 / art. 107641M, 11 p. : ill https://doi.org/10.1117/12.2320614 https://www.scopus.com/sourceid/40067 https://www.scopus.com/record/display.uri?eid=2-s2.0-85058289911&origin=inward&txGid=98457c5afb2a5d5a156ef880c34dc3f4 https://www.webofscience.com/wos/woscc/full-record/WOS:000453920100048
- IEEE 1687 compliant ecosystem for embedded instrumentation access and in-field health monitoringTšertov, Anton; Jutman, Artur; Shibin, Konstantin; Devadze, SergeiIEEE AUTOTESTCON 2018 : National Harbor, September 17-20, 2018 : proceedings2018 / 9 p.: ill https://doi.org/10.1109/AUTEST.2018.8532559
- IEEE European Test Symposium (ETS)Eggersgluss, Stephan; Hamdioui, Said; Jutman, Artur; Michael, Maria K.; Raik, Jaan2019 IEEE International Test Conference (ITC)2019 / 4 p https://doi.org/10.1109/ITC44170.2019.9000148 https://www.scopus.com/sourceid/25589 https://www.scopus.com/record/display.uri?eid=2-s2.0-85081583727&origin=inward&txGid=3cc96819b9a7387458a3ba31812d2556 https://www.webofscience.com/wos/woscc/full-record/WOS:000540385000037
- Image encryption using fractional singular chaotic systems : an extended Kalman filtering approachNosrati, Komeil; Belikov, Juri; Tepljakov, Aleksei; Petlenkov, Eduard2022 International Conference on Electrical, Computer and Energy Technologies (ICECET)2022 / 6 p. : ill https://doi.org/10.1109/ICECET55527.2022.9873484
- IMMizer : an innovative cost-effective method for minimizing assertion setsHeidari Iman, Mohammad Reza; Raik, Jaan; Jervan, Gert; Ghasempouri, Tara2022 25th Euromicro Conference on Digital System Design (DSD) : Maspalomas, Spain 2022 : proceedings2022 / p. 671 - 678 https://doi.org/10.1109/DSD57027.2022.00095 https://www.scopus.com/record/display.uri?eid=2-s2.0-85146731802&origin=resultslist&sort=plf-f&src=s&sid=3b42bc15325c13282d3a40f32ea9fcd1&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22immizer%3A+an+innovative%22%29&sl=34&sessionSearchId=3b42bc15325c13282d3a40f32ea9fcd1 https://www.webofscience.com/wos/woscc/full-record/WOS:000946536500080
- Impact of orientation on the bias of SRAM-based PUFsAbideen, Zain Ul; Wang, Rui; Perez, Tiago Diadami; Schrijen, Geert-Jan; Pagliarini, Samuel NascimentoarXiv.org2023 / 7 p. : ill https://doi.org/10.48550/arXiv.2308.06730
- Impact of orientation on the bias of SRAM-based PUFsAbideen, Zain Ul; Wang, Rui; Perez, Tiago Diadami; Schrijen, Geert-Jan; Pagliarini, Samuel NascimentoIEEE design & test2024 / p. 14-20 https://doi.org/10.1109/MDAT.2023.3322621
- Impact of the COVID-19 pandemic on the energy use and performance in commercial buildingsBelikov, Juri; Köse, Ahmet; Petlenkov, Eduard; Tepljakov, Aleksei; Loo, Lauri2022 45th International Conference on Telecommunications and Signal Processing, TSP 20222022 / p. 187-191 https://doi.org/10.1109/TSP55681.2022.9851384
- The impact of the single digital gateway regulation from the citizens' perspectiveBhattarai, Rakshya; Pappel, Ingrid; Vainsalu, Heiko; Ben Yahia, Sadok; Draheim, DirkProcedia Computer Science2019 / p. 159 - 167 https://doi.org/10.1016/j.procs.2019.12.168 https://www.scopus.com/sourceid/19700182801 https://www.scopus.com/record/display.uri?eid=2-s2.0-85079884323&origin=resultslist&sort=plf-f&src=s&sid=d809a28713715b0f8c896b738ce2c807&sot=b&sdt=b&s=DOI%2810.1016%2Fj.procs.2019.12.168%29&sl=32&sessionSearchId=d809a28713715b0f8c896b738ce2c807&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:000570337000022
- Impacts and risks of hydropowerTreeck, Ruben van; Geist, Juergen; Pander, Joachim; Tuhtan, Jeffrey Andrew; Wolter, ChristianNovel Developments for Sustainable Hydropower2022 / p. 41-60 https://doi.org/10.1007/978-3-030-99138-8_4
- Implementation of series resonance-based fault current limiter for enhanced transient stability of grid-connected photovoltaic farmDemin, Slava; Barbie, Eli; Heistrene, Leena; Belikov, Juri; Petlenkov, Eduard; Levron, Yoash; Baimel, DmitryElectronics (Switzerland)2024 / art. 2987 https://doi.org/10.3390/electronics13152987 https://www.scopus.com/sourceid/21100829272 https://www.scopus.com/record/display.uri?eid=2-s2.0-85200747633&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.3390%2Felectronics13152987%29&sessionSearchId=f890857a41c36254c0f644edbb3c2ac3&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ELECTRONICS-SWITZ&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001286983900001
- Implementation-independent functional test for transition delay faults in microprocessorsOyeniran, Adeboye Stephen; Ubar, Raimund-Johannes; Jenihhin, Maksim; Raik, Jaan2020 23rd Euromicro Conference on Digital System Design (DSD), 26-28 August 2020, Kranj, Slovenia2020 / p. 646-650 https://doi.org/10.1109/DSD51259.2020.00105
- Implementation-independent functional test generation for RISC microprocessorsOyeniran, Adeboye Stephen; Ubar, Raimund-Johannes; Jenihhin, Maksim; Raik, JaanVLSI-SoC 2019 : 27th IFIP/IEEE International Conference on Very Large Scale Integration : [proceedings]2019 / p. 82-87 : ill https://doi.org/10.1109/VLSI-SoC.2019.8920323
- Implementation-independent test generation for a large class of faults in RISC processor modulesJenihhin, Maksim; Oyeniran, Adeboye Stephen; Raik, Jaan; Ubar, Raimund-Johannes24th Euromicro Conference on Digital System Design (DSD)2021 https://doi.org/10.1109/DSD53832.2021.00090
- An improved cooperative team spraying control of a diffusion process with a moving or static pollution sourceChen, Juan; Cui, Baotong; Chen, YangQuan; Zhuang, BoIEEE/CAA Journal of Automatica Sinica2020 / p. 494 - 504 : ill https://doi.org/10.1109/JAS.2019.1911519
- An improved implementation of shift displacement method on hardware —comprehensive evaluation of emerging bi-pedal techniquesAbideen, Zain Ul; Tariq, Hassan; Hafeez, Muhammad Asfand; Subhani, Zahid Mehmood2020 4th International Conference on Automation, Control and Robots : Rome, Italy, 11-13 October 20202020 / p. 7-12 : ill https://doi.org/10.1109/ICACR51161.2020.9265496
- Improving beam-based regulation for continuous-wave linear accelerators with a disturbance model-based design = Pidevlaine lineaarsete kiirendite kiire põhise reguleerimise täiustamine häiringu mudelil põhineva sünteesi abilMaalberg, Andrei2023 https://doi.org/10.23658/taltech.51/2023 https://digikogu.taltech.ee/et/Item/b6a935be-08cf-4874-aef2-dcda56693add https://www.ester.ee/record=b5638841*est
- Improving motion safety and efficiency of intelligent autonomous swarm of dronesMajd, Amin; Loni, Mohammad; Sahebi, Golnaz; Daneshtalab, MasoudDrones2020 / art. 48, 19 p. : ill https://doi.org/10.3390/drones4030048
- Improving symbolic data visualization for pattern recognition and knowledge discoveryUmbleja, Kadri; Ichino, Manabu; Yaguchi, HiroyukiVisual informatics2020 / p. 23-31 : ill https://doi.org/10.1016/j.visinf.2019.12.003
- Improving the confidence level in functional safety simulation tools for ISO 26262Bagbaba, Ahmet Cagri; Augusto da Silva, Felipe; Sauer, Christian2018 Design and Verification Conference (DVCON) Europe : [proceedings]2018 / 6 p. : ill https://dvcon-proceedings.org/document/improving-the-confidence-level-in-functional-safety-simulation-tools-for-iso-26262/ https://zenodo.org/record/3361607#.Y0PHFnZByHs
- Improving the reliability of underwater gait analysis using wearable pressure and inertial sensorsMonoli, Cecilia; Galli, Manuela; Tuhtan, Jeffrey AndrewPLoS One2024 / e0300100, 15 p https://doi.org//10.1371/journal.pone.0300100 https://www.scopus.com/sourceid/10600153309 https://www.scopus.com/record/display.uri?eid=2-s2.0-85188309111&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=ALL%28%22Improving+the+reliability+of+underwater+gait+analysis+using+wearable+pressure+and+inertial+sensors%22%29&sessionSearchId=30fa60ac68bfdb69b4742829436cd1ae https://jcr.clarivate.com/jcr-jp/journal-profile?journal=PLOS%20ONE&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001190798400027
- In memoriam : Prof. Ahto Kalja : 24.05.1950 - 22.10.2018Bubenko, Janis; Solvberg, Arne; Arnicans, Guntis; Borzovs, Juris; Čaplinskas, Albertas; Grundspeņķis, Janis; Haav, Hele-Mai; Kirikova, Marite; Lupeikiene, Audrone; Matulevičius, Raimundas; Robal, Tarmo; Vasilecas, Olegas; Lupeikiene, AudroneDatabases and information systems X : selected papers from the thirteenth International Baltic Conference, DB&IS 20182019 / p. v−vi : phot https://www.ester.ee/record=b5203991*est http://ebooks.iospress.nl/volume/databases-and-information-systems-x-selected-papers-from-the-thirteenth-international-baltic-conference-dbis-2018
- In-field detection of degradation on PCB assembly high-speed busesOdintsov, SergeiIEEE AUTOTESTCON 2018 : National Harbor, September 17-20, 2018 : proceedings2018 / 6 p.: ill https://doi.org/10.1109/AUTEST.2018.8532547
- Influence of operation modes and fish behavior on fish passage through turbinesStoltz, Ulli; Geiger, Franz; Tuhtan, Jeffrey AndrewIOP Conference Series: Earth and Environmental Science ; 7742021 / art. 012125 https://doi.org/10.1088/1755-1315/774/1/012125 https://www.scopus.com/sourceid/19900195068 https://www.scopus.com/record/display.uri?eid=2-s2.0-85108605934&origin=inward&txGid=54150b3648f15bea893ee914e98f0f6f https://www.webofscience.com/wos/woscc/full-record/WOS:000712043400125
- Influencia de factores ambientales y biométricos en la capacidad de nado del barbo ibérico (Luciobarbus bocagei Steindachner, 1864), un ciprínido potamódromo endémico de la Península IbéricaRuiz-Legazpi, J.; Sans-Ronda, F.J.; Bravo-Cordoba, F.J.; Fuentes-Pérez, Juan FranciscoLimnetica2018 / p. 251-265 : ill https://doi.org/10.23818/limn.37.21 https://www.scopus.com/sourceid/144767 https://www.scopus.com/record/display.uri?eid=2-s2.0-85074683995&origin=inward&txGid=e603085c47d012f8664f3a3012c594bd https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LIMNETICA&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000435434300008
- Innovaatiline tiiger või hääbuv väikeriik?Jervan, GertPostimees2022 / Lk. 13 https://dea.digar.ee/article/postimees/2022/04/26/13.7
- An insight on mud behavior upon steppingGodon, Simon; Ristolainen, Asko; Kruusmaa, MaarjaIEEE robotics and automation letters2022 / p. 11039-11046 https://doi.org/10.1109/LRA.2022.3194667 https://www.scopus.com/sourceid/21100900379 https://www.scopus.com/record/display.uri?eid=2-s2.0-85135754799&origin=inward&txGid=7f6b4ffce74a323bfe62142ddfdcbcb4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ROBOT%20AUTOM%20LET&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000845062300001
- Integration of long transmission lines in large-scale DQ0 dynamic modelsBelikov, Juri; Levron, YoashElectrical Engineering2018 / p. 1219–1228 https://doi.org/10.1007/s00202-017-0582-7 https://www.scopus.com/sourceid/16065 https://www.scopus.com/record/display.uri?eid=2-s2.0-85020693235&origin=inward&txGid=4094a5d58d555a6d4f3885a4329556ef https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ELECTR%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000432411800069
- IntelliEye: enhancing MOOC learners' video watching experience through real-time attention trackingRobal, Tarmo; Zhao, Yue; Lofi, Christoph; Hauff, ClaudiaHT '18 : 29th ACM Conference on Hypertext & SocialMedia, July 9–12, 2018, Baltimore, MD, USA : proceedings of the 29th on Hypertext and Social Media2018 / p. 106-114 : ill https://doi.org/10.1145/3209542.3209547
- Intelligent control and digital twins for industry 4.0Tepljakov, AlekseiSensors2023 / art. 4036 https://doi.org/10.3390/s23084036 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85153743416&origin=resultslist&sort=plf-f&src=s&sid=b809bd4cf0195b89d03fdce880010424&sot=b&sdt=b&s=TITLE%28%22Intelligent+Control+and+Digital+Twins+for+Industry+4.0%22%29&sl=111&sessionSearchId=b809bd4cf0195b89d03fdce880010424&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000979953700001
- Intelligent control of robots with minimal power consumption in pick-and-place operationsVodovozov, Valery; Raud, Zoja; Petlenkov, EduardEnergies2023 / art. 7418 https://doi.org/10.3390/en16217418 https://www.scopus.com/sourceid/62932 https://www.scopus.com/record/display.uri?eid=2-s2.0-85176307785&origin=inward&txGid=42ba22c5b1ba7b519984caac30628e54 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENERGIES&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001099584700001
- Intelligent frequency control of AC microgrids with communication delay : an online tuning method subject to stabilizing parametersNosrati, Komeil; Škiparev, Vjatšeslav; Tepljakov, Aleksei; Petlenkov, Eduard; Belikov, JuriEnergy and AI2024 / art. 100421 https://doi.org/10.1016/j.egyai.2024.100421 https://www.scopus.com/sourceid/21101047378 https://www.scopus.com/record/display.uri?eid=2-s2.0-85203412361&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1016%2Fj.egyai.2024.100421%29&sessionSearchId=34a003996a8bf86bc900adea6811198a&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENERGY%20AI&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001314065100001
- Intelligent virtual environments with assessment of user experiencesKöse, Ahmet; Tepljakov, Aleksei; Petlenkov, EduardArtificial Intelligence and Soft Computing : 20th International Conference, ICAISC 2021, Virtual Event, June 21–23, 2021 : proceedings, Part I2021 / p. 463−474 : ill https://doi.org/10.1007/978-3-030-87986-0_41 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85117490055&origin=inward&txGid=592a7382ea7c024898d125f23107471f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000811817300041
- Interactive whiteboards in extended reality for advanced control system designTepljakov, Aleksei44th International Conference on Telecommunications and Signal Processing (TSP)2021 / p. 1-5 https://doi.org/10.1109/TSP52935.2021.9522616
- Inverse-model intelligent control of fin-actuated underwater robots based on drag force propulsionRemmas, Mohamed Walid; Chemori, Ahmed; Kruusmaa, MaarjaOcean Engineering2021 / art. 109883, 19 p. : ill https://doi.org/10.1016/j.oceaneng.2021.109883 https://www.scopus.com/sourceid/28339 https://www.scopus.com/record/display.uri?eid=2-s2.0-85116043197&origin=inward&txGid=f00c523321cd5b2bb63d71ad5867c721 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=OCEAN%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000703963900009
- IT-hariduse skeletiks on nüüd inseneriks saamineJervan, Gert; Lorents, PeeterMente et Manu2017 / lk. 39-41 : fot http://www.ester.ee/record=b1242496*est https://artiklid.elnet.ee/record=b2830876*est
- Jaan Raik : müütidest Eesti elektroonikatööstuse väljavaadete ümberRaik, Jaanerr.ee2022 https://www.err.ee/1608825706/jaan-raik-muutidest-eesti-elektroonikatoostuse-valjavaadete-umber
- Jaan Raik : Nurkse juhtumist ilma paranoiata [Võrguväljaanne]Raik, Jaanerr.ee2019 / fot https://www.err.ee/990346/jaan-raik-nurkse-juhtumist-ilma-paranoiata
- Jaan Raik : valedega "korruptsiooni" vastu [Võrguväljaanne]Raik, Jaanerr.ee2020 / fot https://www.err.ee/1027064/jaan-raik-valedega-korruptsiooni-vastu https://www.err.ee/1027745/keegan-mcbride-vastukaja-artiklile-valedega-korruptsiooni-vastu
- Jaan Raik: Computers must undergo a revolution in order to continue their rapid developmentRaik, JaanEstonian Centre of Excellence in ICT Research2021 / p. 43-47 : ill http://www.digar.ee/id/nlib-digar:634779 https://www.ester.ee/record=b5456158*est
- Jaan Raik: kiibidisain aitaks saada Eestil jõukaks tehnoloogiamaaksMaidla, Margusnovaator.err.ee2023
- Jaan Raik: kiibikriis – kas maailmalõpp või Eesti võimalus? [Võrguväljaanne]postimees.ee2021 https://arvamus.postimees.ee/7407570/jaan-raik-kiibikriis-kas-maailmalopp-voi-eesti-voimalus
- Joint Proceedings of Baltic DB&IS 2022 Doctoral Consortium and Forum co-located with 15th International Baltic Conference on Digital Business and Intelligent Systems (Baltic DB&IS 2022)2022 https://ceur-ws.org/Vol-3158/
- Journal of signal processing systems for signal, image, and video technology. Implementation issues in system-on-chip2017 https://link.springer.com/journal/11265/87/3/page/1
- Juba ligi pooled IT-erialadele astujatest on TalTechis naisedTammemäe, KalleÄripäev2022 / Lk. 9 https://www.aripaev.ee/uudised/2022/09/20/analuus-eesti-korgharitud-it-naiste-arv-kasvab-vaikselt-euroopas-meie-naised-juba-esiviisikus https://www.ester.ee/record=b1071975*est
- JÄNES : a NAS framework for ML-based EDA applicationsSelg, Hardi; Jenihhin, Maksim; Ellervee, PeeterIEEE International Symposium on Defect and Fault Tolerance in VLSI Systems2021 https://doi.org/10.1109/DFT52944.2021.9568321
- Kaks uut unikaalset TalTechi magistrikava hakkavad valmistama ette tippspetsialiste strateegiliselt tähtsale IKT valdkonnaledigi.geenius.ee2023 https://digi.geenius.ee/blogi/teadus-ja-tulevik/kaks-uut-unikaalset-taltechi-magistrikava-hakkavad-valmistama-ette-tippspetsialiste-strateegiliselt-tahtsale-ikt-valdkonnale/
- KaLi: a crystal for post-quantum security using kyber and dilithiumAikata, Aikata; Mert, Ahmet Can; Imran, Malik; Pagliarini, Samuel Nascimento; Roy, Sujoy SinhaIEEE Transactions on Circuits and Systems I : regular papers2023 / p. 747–758 https://doi.org/10.1109/TCSI.2022.3219555 https://www.scopus.com/sourceid/11000153733 https://www.scopus.com/record/display.uri?eid=2-s2.0-85141557756&origin=inward&txGid=727a21b83d3f6f1b6f8d417e593c52c4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20CIRCUITS-I&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000886850400001
- Kamerabasiertes Monitoring von Fischen in Deutschland und der SchweizHaas, Christian; Meyer, Matthias; Schmalz, Maria; Tuhtan, Jeffrey AndrewWasserWirtschaft2024 / p. 19 - 29 https://doi.org/10.1007/s35147-024-2401-1 https://www.scopus.com/sourceid/110004 https://www.scopus.com/record/display.uri?eid=2-s2.0-85205796567&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1007%2Fs35147-024-2401-1%29&sessionSearchId=03854897c8e6e7bbc8ffad5b01ef8afb&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=WASSERWIRTSCHAFT&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001368614700003
- Keynote: cost-efficient reliability for Edge-AI chipsJenihhin, Maksim; Taheri, Mahdi; Cherezova, Natalia; Ahmadilivani, Mohammad Hasan; Selg, Hardi; Jutman, Artur; Shibin, Konstantin; Tsertov, Anton; Devadze, Sergei; Kodamanchili, Rama Mounika; Rafiq, Ahsan; Raik, Jaan; Daneshtalab, Masoud2024 IEEE 25th Latin American Test Symposium (LATS)2024 https://doi.org/10.1109/LATS62223.2024.10534610 https://www.scopus.com/record/display.uri?eid=2-s2.0-85195425788&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FLATS62223.2024.10534610%29&sessionSearchId=03854897c8e6e7bbc8ffad5b01ef8afb&relpos=0
- Kiibikriis: kas maailmalõpp või Eesti võimalus?Raik, JaanPostimees2022 / Lk. 12 https://dea.digar.ee/article/postimees/2021/12/14/12.4
- KRATT : QBF-assisted removal and structural analysis attack against logic lockingAksoy, Levent; Yasin, Muhammad; Pagliarini, Samuel NascimentoarXiv.org2023 / 7 p. : ill https://doi.org/10.48550/arXiv.2311.05982
- Kriiside tekkimine ühiskondliku valiku teel ehk Riikide säilimine olelusvõitlusesKruusmaa, MaarjaArvamus, kultuur : [ajalehe Postimees lisa]2022 / Lk. 20-21 https://dea.digar.ee/article/ak/2022/02/12/12.2
- Kui internet saab täisJervan, GertPostimees2020 / Lk. 13 https://dea.digar.ee/article/postimees/2020/07/30/11.3 https://leht.postimees.ee/7028359/gert-jervan-kui-eesti-internet-saab-tais
- Kõva sõnaKruusmaa, MaarjaPostimees2020 / Lk. 2 https://www.ester.ee/record=b1072778*est
- Land and underwater gait analysis using wearable IMUMonoli, Cecilia; Fuentez-Perez, Juan Francisco; Cau, Nicola; Capodaglio, Paolo; Galli, Manuela; Tuhtan, Jeffrey AndrewIEEE sensors journal2021 / p. 11192-11202 https://doi.org/10.1109/JSEN.2021.3061623 https://www.scopus.com/sourceid/15047 https://www.scopus.com/record/display.uri?eid=2-s2.0-85101756147&origin=inward&txGid=5d2cfa385a1c798397f64ec7cc325a22 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20SENS%20J&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000638432800094
- Latch-Based logic lockingSweeney, J.; Mohammed Zackriya, V.; Pagliarini, Samuel Nascimento; Pileggi, LarryProceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, HOST 20202020 / p. 132−141 : ill https://doi.org/10.1109/HOST45689.2020.9300256
- Latest trends in hardware security and privacyDi Natale, Giorgio; Regazzoni, Francesco; Albanese, Vincent; Lhermet, Frank; Loisel, Yann; Sensaoui, Abderrahmane; Pagliarini, Samuel Nascimento33rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) : ESA-ESRIN, Italy (On-line Virtual Event),October 19–21, 20202020 / 4 p. : ill https://doi.org/10.1109/DFT50435.2020.9250816
- Learning to program with Lego Mindstorms - difference between K-12 students and adultsUmbleja, KadriTeaching and Learning in a Digital World : proceedings of the 20th International Conference on Interactive Collaborative Learning. Volume 22018 / p. 447-458 https://link.springer.com/chapter/10.1007/978-3-319-73204-6_49 https://doi.org/10.1007/978-3-319-73204-6_49 https://www.scopus.com/sourceid/5100152904 https://www.scopus.com/record/display.uri?eid=2-s2.0-85042308448&origin=inward&txGid=b92ff12999213ec1412685c337508389 https://www.webofscience.com/wos/woscc/full-record/WOS:000546474900049
- Leveraging digital twins and demand side recommender Chatbot for optimizing smart grid energy efficiencyOnile, Abiodun Emmanuel; Belikov, Juri; Petlenkov, Eduard; Levron, Yoash2023 IEEE PES Innovative Smart Grid Technologies - Asia, ISGT Asia 20232023 / 5 p https://doi.org/10.1109/ISGTAsia54891.2023.10372761
- Leveraging FPGA Reconfigurability as an Obfuscation Asset = FPGA ümberkonfigureeritavuse rakendamine hägustamise vahendinaAbideen, Zain Ul2024 https://digikogu.taltech.ee/et/Item/660d923b-44d2-4993-898f-324ab2088199 https://www.ester.ee/record=b5649944*est https://doi.org/10.23658/taltech.1/2024
- Leveraging layout-based effects for locking analog ICsAljafar, Muayad J.; Azais, Florence; Flottes, Marie-Lise; Pagliarini, Samuel NascimentoASHES'22: Proceedings of the 2022 Workshop on Attacks and Solutions in Hardware Security2022 / p. 5-13 https://doi.org/10.1145/3560834.3563826
- LiD-CAT: A lightweight detector for cache ATtacksReinbrecht, Cezar; Hamdioui, Said; Taouil, Mottaqiallah; Niazmand, Behrad; Ghasempouri, Tara; Raik, Jaan; Sepulveda, Johanna2020 IEEE European Test Symposium (ETS) : ETS 2020, May 25-29, 2020
Tallinn, Estonia : proceedings2020 / 6 p. : ill https://doi.org/10.1109/ETS48528.2020.9131603
- Lightweight assimilation of open urban ambient air quality monitoring data and numerical simulations with unknown uncertaintyMiasayedava, Lizaveta; Kaugerand, Jaanus; Tuhtan, Jeffrey AndrewEnvironmental modeling & assessment2023 / p. 961–975 https://doi.org/10.1007/s10666-023-09909-x https://www.scopus.com/sourceid/23276 https://www.scopus.com/record/display.uri?eid=2-s2.0-85161369493&origin=inward&txGid=182d09acf52273f48aefdc0cc78f959e https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENVIRON%20MODEL%20ASSESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001004001600001
- Lightweight monitoring scheme for flooding DoS Attack detection in multi-tenant MPSoCsChaves Arroyave, Cesar Giovanni; Sepulveda, Johanna; Hollstein, Thomas2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea May 22-28, 2021 : proceedings2021 / 5 p https://doi.org/10.1109/ISCAS51556.2021.9401153 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85108992010&origin=inward&txGid=bde7897522355cefb3b60c77e810efba https://www.webofscience.com/wos/woscc/full-record/WOS:000696765400099
- Lightweight open data assimilation of Pan-European urban air qualityMiasayedava, Lizaveta; Kaugerand, Jaanus; Tuhtan, Jeffrey AndrewIEEE access2023 / p. 84670–84688 : ill., map https://doi.org//10.1109/ACCESS.2023.3302348 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85167791705&origin=inward&txGid=481bc853ebb6e41d91e9450a92b65130 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001049943200001
- Logic IP for low-cost IC design in advanced CMOS nodesIsgenc, Mehmet Meric; Martins, Mayler G.A.; Zackriya, V. Mohammed; Pagliarini, Samuel Nascimento; Pileggi, LarryIEEE Transactions on Very Large Scale Integration (VLSI) Systems2020 / p. 585-595 https://doi.org//10.1109/TVLSI.2019.2942825
- LugemiselamusKruusmaa, MaarjaHorisont2017 / lk. 61 : ill http://www.ester.ee/record=b1072243*est
- Luude seisundit uuritakse kaaluta olekusImeline Teadus2024 / lk. 20 : fot https://www.ester.ee/record=b2747925*est
- Maarja Kruusmaa: kõige tõenäolisemalt sureb vaktsiinivastane hoopis südame-veresoonkonnahaigustesse [Võrguväljaanne]Kruusmaa, Maarjapostimees.ee2022 https://arvamus.postimees.ee/7452030/maarja-kruusmaa-koige-toenaolisemalt-sureb-vaktsiinivastane-hoopis-sudame-veresoonkonnahaigustesse
- Maarja Kruusmaa: lühike õpetus kriisijuhtideleKruusmaa, MaarjaArvamus, kultuur : [ajalehe Postimees lisa]2023 / Lk. 2 https://arvamus.postimees.ee/7689838/ak-fookus-maarja-kruusmaa-luhike-opetus-kriisijuhtidele https://dea.digar.ee/article/ak/2023/01/14/2.1
- Maarja Kruusmaa: mõtlemine, kas olen piisavalt heal tasemel, ei vii edasi. Tegutseda tuleb!Vaikmaa, Madis; Kruusmaa, MaarjaPostimees2018 / Arter, lk. 2-6 https://leht.postimees.ee/4441279/arteri-suur-intervjuu-robootikateadlase-maarja-kruusmaaga-motlemine-kas-olen-piisavalt-tasemel-ei-vii-edasi-tegutseda-tuleb#down
- Maarja Kruusmaa: säilenõtkusesse panustamine tagab ühiskonna toimimise mistahes olukorrasKruusmaa, MaarjaArvamus, kultuur : [ajalehe Postimees lisa]2023 / Lk. 3 https://arvamus.postimees.ee/7689865/ak-fookus-maarja-kruusmaa-sailenotkusesse-panustamine-tagab-uhiskonna-toimimise-mistahes-olukorras
- Machine learning clustering techniques for selective mitigation of critical design featuresLange, Thomas; Balakrishnan, Aneesh; Glorieux, Maximilien; Alexandrescu, Dan; Sterpone, LucaProceedings : 2020 26th IEEE International Symposium on On-Line Testing and Robust System Design : IOLTS 2020, Napoli, Italy, July 13-16, 2020 : virtual edition2020 / 7 p. : ill https://doi.org/10.1109/IOLTS50870.2020.9159751
- Machine learning enabled fault-detection algorithms for optical spectrum-as-a-service usersPatri, Sai Kireet; Dick, Isabella; Kaeval, Kaida; Müller, Jasper; Pedreno-Manresa, Jose-Juan; Autenrieth, Achim; Elbers, Jörg-Peter; Tikas, Marko; Mas-Machuca, Carmen2023 International Conference on Optical Network Design and Modeling (ONDM) : proceedings2023 / 6 p. : ill https://ieeexplore.ieee.org/document/10144858
- Machine learning to tackle the challenges of transient and soft errors in complex circuitsLange, Thomas; Balakrishnan, Aneesh; Glorieux, Maximilien; Alexandrescu, Dan; Sterpone, Luca2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), 1-3 July 2019, Greece2019 / p. 7-14 : ill https://doi.org/10.1109/IOLTS.2019.8854423
- Magnetic assisted sorption technology for advanced removal and recovery of phosphorus from mainstream and side-stream WWTPIvanova Drenkova-Tuhtan, Asya; Meyer, Carsten; Mandel, Karl; Schneider, MichaelIWA Nutrient Removal and Recovery Conference 18-21 November 2018, Brisbane, Australia2018 / p. 5 : ill https://www.researchgate.net/profile/Asya_Drenkova-Tuhtan/publication/329100703_Magnetic_assisted_sorption_technology_for_advanced_removal_and_recovery_of_phosphorus_from_mainstream_and_sidestream_WWTP/links/5bf575954585150b2bc8ace2/Magnetic-assisted-sorption-technology-for-advanced-removal-and-recovery-of-phosphorus-from-mainstream-and-sidestream-WWTP.pdf
- Majandusele oluline kiibikeskus võib 1 miljoni pärast käest libiseda: MKM kahtleb, EISA on pooltPuusild, Harroaripaev.ee2024 https://www.aripaev.ee/raadio/episood/majandusele-oluline-kiibikeskus-voib-1-miljoni-parast-kaest-libiseda-mkm-kahtleb-eisa-on-poolt
- Maneuvering on non-Newtonian fluidic terrain : a survey of animal and bio-inspired robot locomotion techniques on soft yielding groundsGodon, Simon; Kruusmaa, Maarja; Ristolainen, AskoFrontiers in Robotics and AI2023 / art. 1113881 https://doi.org/10.3389/frobt.2023.1113881 https://www.scopus.com/sourceid/21100868821 https://www.scopus.com/record/display.uri?eid=2-s2.0-85162259041&origin=inward&txGid=6d8d0d9e9660793e45dcb87fe4b56f1f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=FRONT%20ROBOT%20AI&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001014879000001
- Man-made flows from a fish's perspective : autonomous classification of turbulent fishway flows with field data collected using an artificial lateral lineTuhtan, Jeffrey Andrew; Fuentes-Pérez, Juan Francisco; Toming, Gert; Schneider, Matthias; Schwarzenberger, Richard; Schletterer, Martin; Kruusmaa, MaarjaBioinspiration & biomimetics2018 / art. 046006, 17 p. : ill https://doi.org/10.1088/1748-3190/aabc79 https://www.scopus.com/sourceid/4700152290 https://www.scopus.com/record/display.uri?eid=2-s2.0-85049854588&origin=inward&txGid=5da0a2aef752fb736157510a698c2935 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=BIOINSPIR%20BIOMIM&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000433107400003
- Map-based localization and loop-closure detection from a moving underwater platform using flow featuresMuhammad, Naveed; Fuentes-Pérez, Juan Francisco; Tuhtan, Jeffrey Andrew; Toming, Gert; Kruusmaa, Maarja; Musall, MarkAutonomous robots2019 / p. 1419-1434 : ill https://doi.org/10.1007/s10514-018-9797-3 https://www.scopus.com/sourceid/18016 https://www.scopus.com/record/display.uri?eid=2-s2.0-85052656156&origin=inward&txGid=cf306de58eba627dc8d4f1b910581453 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=AUTON%20ROBOT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000474366100008
- Marginal PCB assembly defect detection on DDR3/4 memory busOdintsov, Sergei; Jutman, Artur; Devadze, Sergei2017 IEEE International Test Conference (ITC 2017) : Forth Worth, Texas, USA, 31 October - 2 November 20172017 / p. 238-247 : ill https://doi.org/10.1109/TEST.2017.8242070 https://www.scopus.com/sourceid/25589 https://www.scopus.com/record/display.uri?eid=2-s2.0-85038601577&origin=inward&txGid=69af237766f73a4c6e2ae7c6c9a1d423 https://www.webofscience.com/wos/woscc/full-record/WOS:000426969200041
- Meditsiinitehnika lipulaeva Karl Storzi ja TalTechi koostöös sünnivad maailmamuutvad teadus- ja uurimisprojektidRaag, Toomasdigi.geenius.ee2023 https://digi.geenius.ee/blogi/teadus-ja-tulevik/meditsiinitehnika-lipulaeva-karl-storzi-ja-taltechi-koostoos-sunnivad-maailmamuutvad-teadus-ja-uurimisprojektid/ https://pealinn.ee/2023/03/30/meditsiinitehnika-lipulaeva-karl-storzi-ja-taltechi-koostoos-sunnivad-maailmamuutvad-teadus-ja-uurimisprojektid/
- Memristive device based circuits for computation-in-memory architecturesLebdeh, Muath Abu; Reinsalu, Uljana; Nguyen, Hoang Anh Du; Wong, Stephan; Hamdioui, Said2019 IEEE International Symposium on Circuits and Systems (ISCAS) : proceedings2019 / 5 p. : ill https://doi.org/10.1109/ISCAS.2019.8702542 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85066016527&origin=inward&txGid=399fe89b18ea05b8a1fc6f67b5369fd3 https://www.webofscience.com/wos/woscc/full-record/WOS:000483076402010
- Message from Program Co-Chairs: PDP 2020Daneshtalab, Masoud; Brorsson, Mats2020 28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing : PDP 2020, Västerås, Sweden, 11-13 March 20202020 / 1 p https://doi.org/10.1109/PDP50117.2020.00006
- A method for detection and evaluation of driver distraction induced by in-vehicle information systemsAksjonov, Andrei; Nedoma, Pavel; Vodovozov, Valery; Petlenkov, EduardIECON 2018 - 44th Annual Conference of the IEEE Industrial Electronics Society : proceedings2018 / p. 4513-4518 : ill https://doi.org/10.1109/IECON.2018.8591252
- A method of driver distraction evaluation using fuzzy logic : phone usage as a driver's secondary activity : case studyAksjonov, Andrei; Nedoma, Pavel; Vodovozov, Valery; Petlenkov, Eduard; Herrmann, MartinProceedings : IACAT 2017 : XXVI International Conference on Information, Communication and Automation Technologies, October 26-28, 2017, Sarajevo, Bosnia-Herzegovina2017 / 6 p. : ill https://doi.org/10.1109/ICAT.2017.8171599
- A methodology for automated mining of compact and accurate assertion setsHeidari Iman, Mohammad Reza; Raik, Jaan; Jenihhin, Maksim; Jervan, Gert; Ghasempouri, Tara2021 IEEE Nordic Circuits and Systems Conference (NorCAS) : Oslo, Norway, October 26-272021 / 7 p. : ill https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=9599865 https://doi.org/10.1109/NorCAS53631.2021.9599865
- Methods for improving the accuracy and efficiency of fault simulation in digital systems = Meetodid digitaalsüsteemide rikete simuleerimise täpsuse ja efektiivsuse tõstmiseksKõusaar, Jaak2019 https://digi.lib.ttu.ee/i/?11667
- Methods to optimize functional safety assessment for automotive integrated circuits = Meetodid autotööstuse kiipide funktsionaalse ohutuse hindamise optimeerimiseksBagbaba, Ahmet Cagri2022 https://doi.org/10.23658/taltech.9/2022 https://digikogu.taltech.ee/et/Item/58b0b89d-b1ba-4a73-ba53-850910d697b5 https://www.ester.ee/record=b5491885*est
- Mikk Raud: Eestil on aeg oma kiibipotentsiaal ellu äratadaArjakas, Merilidiplomaatia.ee2023
- MIMO reinforcement learning based approach for frequency support in microgrids with high renewable energy penetrationŠkiparev, Vjatšeslav; Belikov, Juri; Petlenkov, Eduard2021 IEEE Power & Energy Society General Meeting (PESGM), 26-29 July 20212021 / 5 p. : ill https://doi.org/10.1109/PESGM46819.2021.9637942
- Miniatuurne märgvara [Võrguteavik]Tammemäe, KalleArvutimaailm2018 / 14 p https://www.am.ee/margvara
- Minimal energy storage required for stability of low inertia distributed sourcesFahima, Aviad; Ofir, Ron; Levron, Yoash; Belikov, Juri2018 5th IEEE International Energy Conference (ENERGYCON), Limassol, Cyprus, June 3-7, 2018 : proceedings2018 / 5 p. : ill https://doi.org/10.1109/ENERGYCON.2018.8398775
- Minimization of the high-level fault model for microprocessor control parts [Online resource]Ubar, Raimund-Johannes; Oyeniran, Adeboye Stephen; Medaiyese, OlusijiBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p.: ill https://doi.org/10.1109/BEC.2018.8600980
- Missugust matemaatikat on vaja esimese kursuse tudengil?Kukk, VelloÕpetajate Leht2021 / Lk. 12 : fot https://dea.digar.ee/article/opetajateleht/2021/02/05/9.7
- Mixed-level identification of fault redundancy in microprocessorsOyeniran, Adeboye Stephen; Ubar, Raimund-Johannes; Jenihhin, Maksim; Gürsoy, Cemil Cem; Raik, JaanLATS 2019 : 20th IEEE Latin American Test Symposium : Santiago, Chile,
March 11th - 13th 20192019 / 6 p. : ill https://doi.org/10.1109/LATW.2019.8704591
- MLC: a machine learning based checker for soft error detection in embedded processorsNosrati, Nooshin; Jenihhin, Maksim; Navabi, ZainalabedinProceedings - 2022 IEEE 28th International Symposium on On-Line Testing and Robust System Design, IOLTS 20222022 / Code 183305 https://doi.org/10.1109/IOLTS56730.2022.9897309 https://www.scopus.com/record/display.uri?eid=2-s2.0-85141422624&origin=resultslist&sort=plf-f&src=s&sid=3b42bc15325c13282d3a40f32ea9fcd1&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22mlc%3A+a+machine+learning+based+checker%22%29&sl=34&sessionSearchId=3b42bc15325c13282d3a40f32ea9fcd1 https://www.webofscience.com/wos/woscc/full-record/WOS:000865857100012
- Mobile smart contracts : exploring scalability challenges and consensus mechanismsDeval, Vipin; Dwivedi, Vimal Kumar; Dixit, Abhishek; Norta, Alex; Shah, Syed Attique; Sharma, Rahul; Draheim, DirkIEEE Access2024 / p. 34265 - 34288 https://doi.org/10.1109/ACCESS.2024.3371901 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85186990329&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FACCESS.2024.3371901%29&sessionSearchId=971b6d536926a71d00c3665b57fa29cc&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001178863000001
- Model predictive control of descriptor systemsNosrati, Komeil; Belikov, Juri; Tepljakov, Aleksei; Petlenkov, EduardIEEE Control Systems Letter2024 / p. 2139 - 2144 https://doi.org/10.1109/LCSYS.2024.3448310 https://www.scopus.com/sourceid/21100885366 https://www.scopus.com/sourceid/21100885366 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20CONTR%20SYST%20LETT&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001313339400003
- Model reference adaptive control scheme for retuning method-based fractional-order PID control with disturbance rejection applied to closed-loop control of a magnetic levitation systemTepljakov, Aleksei; Alagoz, Baris Baykant; Gonzalez, Emmanuel A.; Petlenkov, Eduard; Yeroglu, CelaleddinJournal of circuits, systems, and computers2018 / 1850176 https://doi.org/10.1142/S0218126618501761 https://www.scopus.com/sourceid/26046 https://www.scopus.com/record/display.uri?eid=2-s2.0-85040864573&origin=inward&txGid=d0f5bc9b3322df119ab70535fb57e0c2 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20CIRCUIT%20SYST%20COMP&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000434472900012
- Model-based control design for a district heating plantVansovitš, Vitali; Godoy, Boris I.; Tepljakov, Aleksei; Vassiljeva, Kristina; Petlenkov, Eduard2017 IEEE 15th International Conference on Industrial Informatics (INDIN 2017) : Emden, Germany, 24-26 July 20172017 / p. 615-620 : ill https://doi.org/10.1109/INDIN.2017.8104842
- Modeling and experimental analysis of the mass loading effect on micro-ionic polymer actuators using step response identificationDadras, Iman; Ghenna, Sofiane; Grondel, Sébastien; Cattan, Éric; Raik, Jaan; Aabloo, Alvo; Banerji, SaoniJournal of Microelectromechanical Systems2021 / p. 243–252 : ill https://doi.org/10.1109/JMEMS.2021.3060897 https://www.scopus.com/sourceid/17276 https://www.scopus.com/record/display.uri?eid=2-s2.0-85102272972&origin=inward&txGid=2516cba39094c4a93d9b3a386b8fb2b4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20MICROELECTROMECH%20S&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000638400700009
- Modeling and identification of an industrial hot water boilerTarasevich, Maksimilian; Tepljakov, Aleksei; Petlenkov, Eduard; Vansovitš, Vitali2020 43rd International Conference on Telecommunications and Signal Processing : TSP 2020, Milan, Italy, July 7-9, 20202020 https://doi.org/10.1109/TSP49548.2020.9163503
- Modeling and simulation of circuits with shared structurally synthesized BDDsUbar, Raimund-Johannes; Jürimägi, Lembit; Raik, Jaan; Viies, VladimirMicroprocessors and microsystems2017 / p. 56-61 : ill https://doi.org/10.1016/j.micpro.2016.09.006 https://www.scopus.com/sourceid/15552 https://www.scopus.com/record/display.uri?eid=2-s2.0-85000632623&origin=inward&txGid=744c20a68a44b2968b1fc269551acff8 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MICROPROCESS%20MICROSY&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000392038000007
- Modeling dissipation scale distributions at high Reynolds numberGustenyov, Nikolay; Bailey, Sean; Egerer, Margit; Hultmark, Marcus; Smits, Alexander J.AIAA AVIATION 2022 Forum2022 / Art. nr. AIAA 2022-3346 https://doi.org/10.2514/6.2022-3346
- Modeling for multi-view interference analysis of design aspects in MPSoC designsVain, Jüri; Apneet Kaur; Tsiopoulos, Leonidas; Raik, Jaan; Jenihhin, MaksimRESCUE 2017 : Workshop on Reliability, Security and Quality : ETS17 Fringe Workshop, May 25-26, 2017, Limassol, Cyprus2017 / p. 1-6 http://www.ets17.org.cy/workshop/rescue-workshop.html
- Modeling gate-level abstraction hierarchy using graph convolutional neural networks to predict functional de-rating factorsBalakrishnan, Aneesh; Lange, Thomas; Glorieux, Maximilien; Alexandrescu, Dan; Jenihhin, Maksim2019 NASA/ESA conference on adaptive hardware and systems AHS 2019 : proceedings2019 / p. 72-78 : ill https://doi.org/10.1109/AHS.2019.00007
- Modeling power networks using dynamic phasors in the dq0 reference frameLevron, Yoash; Belikov, JuriElectric power systems research2017 / p. 233-242 https://doi.org/10.1016/j.epsr.2016.11.024
- Modeling soft-error reliability under variabilityBalakrishnan, Aneesh; Cardoso Medeiros, Guilherme; Gürsoy, Cemil Cem; Hamdioui, Said; Jenihhin, Maksim; Alexandrescu, Dan2021 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) : 6-8 Oct. 20212021 / p. 1-6 https://doi.org/10.1109/DFT52944.2021.9568295
- Modelling of a versatile vehicle braking system with a fuzzy PID torque controllerVodovozov, Valery; Raud, Zoja; Aksjonov, Andrei; Petlenkov, Eduard2020 17th Biennial Baltic electronics conference, Tallinn, Estonia, October 6-8, 2020 : proceedings2020 / 6 p. : ill https://doi.org/10.1109/BEC49624.2020.9276798
- Monitoring of coastal waves and velocities with a robotic platformPiho, Laura; Egerer, Margit; Gkliva, Roza; Kruusmaa, Maarja; Ristolainen, AskoOCEANS 2024 - Halifax : proceedings2024 / 5 p https://doi.org/10.1109/OCEANS55160.2024.10754335
- Monitoring upstream fish passage through a bypass pipe and drop at the fish lift Runserau : comparing dynamic pressure measurements on live fish with passive electronic fish surrogatesTuhtan, Jeffrey Andrew; Fuentes-Pérez, Juan Francisco; Angerer, Thomas; Schletterer, Martin2018 / 4 p. : ill https://amber.international/event/12th-international-symposium-on-ecohydraulics-ise-2018/ https://www.etis.ee/Portal/Publications/Display/909d1462-ad33-4f9e-a319-8718098cb63e
- More than depth : developing pressure sensing systems for aquatic environmentsSchletterer, Martin; Tuhtan, Jeffrey Andrew; Fuentes-Pérez, Juan Francisco; Kruusmaa, MaarjaHydroSenSoft, International Symposium and Exhibition on Hydro-Environment Sensors and Software : 1-3 March 2017, Madrid, Spain2017 / p. 1-7 : ill
- Motivating Students to Avoid Academic Dishonesty in Learning Engineering CoursesRaud, Zoja; Vodovozov, Valery; Petlenkov, Eduard; Serbin, AleksandrEDUCON 2018 : Global Engineering Education Conference : abstracts2018 / p. 100 http://www.educon-conference.org/current/documents/EDUCON2018_abstracts.pdf
- Multi-fragment Markov model guided online test generation for MPSoCVain, Jüri; Tsiopoulos, Leonidas; Kharchenko, Vyacheslav; Apneet Kaur; Jenihhin, Maksim; Raik, JaanICTERI 2017 : ICT in Education, Research and Industrial Applications. Integration, Harmonization and Knowledge Transfer : proceedings of the 13th International Conference on ICT in Education, Research and Industrial Applications. Integration, Harmonization and Knowledge Transfer, Kyiv, Ukraine, May 15-18, 20172017 / p. 594-607 : ill http://www.scopus.com/inward/record.uri?eid=2-s2.0-85020540459&partnerID=40&md5=af226e25c344c52689f23bf5c39cc267 http://ceur-ws.org/Vol-1844/10000594.pdf https://www.scopus.com/sourceid/21100218356 https://www.scopus.com/record/display.uri?eid=2-s2.0-85020540459&origin=inward&txGid=822a1c19d42faa05854df1b2bd3d60ba
- Multi-level binarized LSTM in EEG classification for wearable devicesNazari, N.; Mirsalari, Seyed Ahmad; Sinaei, S.; Salehi, M.E.; Daneshtalab, Masoud2020 28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing : PDP 2020, Västerås, Sweden, 11-13 March 20202020 / p. 175-181 https://doi.org/10.1109/PDP50117.2020.00033
- Multi-loop model reference adaptive control of fractional-order PID control systemsAlagoz, Baris Baykant; Tepljakov, Aleksei; Petlenkov, Eduard; Yeroglu, Celaleddin2017 40th International Conference on Telecommunications and Signal Processing TSP : July 5-7, 2017, Barcelona, Spain2017 / p. 702-705 : ill https://doi.org/10.1109/TSP.2017.8076078
- Multi-loop model reference proportional integral derivative controls: design and performance evaluationsAlagoz, Baris Baykant; Tepljakov, Aleksei; Petlenkov, Eduard; Yeroglu, CelaleddinAlgorithms2020 / 26 p. : ill https://doi.org/10.3390/a13020038 https://www.scopus.com/sourceid/21100199795 https://www.scopus.com/record/display.uri?eid=2-s2.0-85081136220&origin=inward&txGid=a23b397229b8b47d7502a2cfb1b8e2af https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ALGORITHMS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000519108500018
- A multiplexed FBG based sensor platform for flow and temperature measurements in the Baltic SeaDzipalski, A.; Morton, J. A. S.; Papachristou, N.; Maier, R. R. J.; MacPherson, W. N.; Ristolainen, Asko; Kruusmaa, Maarja; Reilent, E.; Suhhova, Irina; Lips, UrmasProceedings of SPIE2023 / art. 1264307-1 : ill https://doi.org/10.1117/12.2679756 https://www.scopus.com/sourceid/40067 https://www.scopus.com/record/display.uri?eid=2-s2.0-85162941987&origin=inward&txGid=10e40d600e73409481c95c123e4a4238
- A multiplexed reconfigurable modular FBG-based sensor platform for flow and temperature measurements in the North SeaDzipalski, Adrian; Morton, Jonathan A. S.; Papchristou, Nikolitsa; Maier, Robert R. J.; MacPherson, William N.; Ristolainen, Asko; Reilent, Enar; Kruusmaa, Maarja; Wolf, Ben J.; Pirih, Primoz; Van Netten, Sietse M.; Suhhova, Irina; Lips, Urmas; McFarlane, Nathan; MacLeod, Robert; Hendry, Mark; Sheehy, Jack; Almoghayer, Mohammed; Rojas, Natalia; Davies, GarethProceedings of SPIE2024 / 6 p https://doi.org/10.1117/12.3031643
- Multiplierless design of high-speed very large constant multiplicationsAksoy, Levent; Roy, Debapriya Basu; Imran, Malik; Pagliarini, Samuel Nascimento2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC 2024)2024 / p. 957-962 https://doi.org/10.1109/ASP-DAC58780.2024.10473954
- Multiplierless design of very large constant multiplications in cryptographyAksoy, Levent; Roy, Debapriya Basu; Imran, Malik; Karl, Patrick; Pagliarini, Samuel NascimentoIEEE Transactions on Circuits and Systems II : Express Briefs2022 / p. 4503-4507 https://doi.org/10.1109/TCSII.2022.3191662 https://www.scopus.com/sourceid/9500153930 https://www.scopus.com/record/display.uri?eid=2-s2.0-85135215139&origin=inward&txGid=f23b49ab5b48f3a0ef3f5de7101fab80 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20CIRCUITS-II&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000875902500066
- Multiscale change detection in a supraglacial stream using surface driftersTuhtan, Jeffrey Andrew; Kruusmaa, Maarja; Alexander, Andreas; Fuentes-Pérez, Juan FranciscoRiver Flow 2020 Proceedings of the 10th Conference on Fluvial Hydraulics (Delft, Netherlands, 7-10 July 2020): River Flow 2020, Online, 7-10 July 20202020 / p. 1483-1492 https://doi.org/10.1201/b22619
- Multi-species assessment of injury, mortality, and physical conditions during downstream passage through a large Archimedes hydrodynamic screw (Albert Canal, Belgium)Pauwels, Ine S.; Baeyens, Raf; Toming, Gert; Tuhtan, Jeffrey AndrewSustainability2020 / art. 8722, 25 p. : ill https://doi.org/10.3390/su12208722 https://www.scopus.com/sourceid/21100240100 https://www.scopus.com/record/display.uri?eid=2-s2.0-85098558714&origin=inward&txGid=73b6d586c91f4ffddf444226bf7089b5 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SUSTAINABILITY-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000583073000001
- Multi-view modeling for MPSoC design aspects [Online resource]Vain, Jüri; Apneet Kaur; Tsiopoulos, Leonidas; Raik, Jaan; Jenihhin, MaksimBEC 2018 : 2018 16th Biennial Baltic Electronics Conference (BEC) : proceedings of the 16th Biennial Baltic Electronics Conference, October 8-10, 20182018 / 4 p.: ill https://doi.org/10.1109/BEC.2018.8600986
- [Mõtted]Kruusmaa, MaarjaHallo, Kosmos! Elu mõte / Ingrid Peek2017 / lk. 27 https://www.ester.ee/record=b4746867*est
- A NARX model reference adaptive control scheme: Improved disturbance rejection fractional-order PID control of an experimental magnetic levitation systemAlimohammadi, Hossein; Alagoz, Baris Baykant; Tepljakov, Aleksei; Vassiljeva, Kristina; Petlenkov, EduardAlgorithms2020 / p. 1-27 https://doi.org/10.3390/a13080201 https://www.scopus.com/sourceid/21100199795 https://www.scopus.com/record/display.uri?eid=2-s2.0-85090385875&origin=inward&txGid=a4dab6fe76c1d663e9eca30b87274896 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ALGORITHMS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000568149200001
- Negative correlation between functional connectivity and small-worldness in the alpha frequency band of a healthy brainPäeske, Laura; Hinrikus, Hiie; Lass, Jaanus; Raik, Jaan; Bachmann, MaieFrontiers in Physiology2020 / Art. nr. 910 https://doi.org/10.3389/fphys.2020.00910 https://www.scopus.com/sourceid/21100215107 https://www.scopus.com/record/display.uri?eid=2-s2.0-85089893317&origin=inward&txGid=3a25d030889a2b8bb82ea4502dd881fc https://jcr.clarivate.com/jcr-jp/journal-profile?journal=FRONT%20PHYSIOL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000565347500001
- Network-based hardware accelerators for parallel data processing = Võrgupõhised riistvarakiirendid paralleelseks andmetöötluseksRjabov, Artjom2017 https://digi.lib.ttu.ee/i/?8436 https://www.ester.ee/record=b4685402*est
- Network-on-Chip with equality-of-service : a local fair runtime arbitration method for global fair bandwidth shareSamman, Faizal; Hollstein, ThomasInternational journal of innovative computing, information and control2019 / p. 1821−1834 http://www.ijicic.net/servlet/Download?contentID=CONT34590d01977b424ea4f1cdfbb4c8c264 https://doi.org/10.24507/ijicic.15.05.1821 https://www.scopus.com/sourceid/12000154489 https://www.scopus.com/record/display.uri?eid=2-s2.0-85073377635&origin=inward&txGid=9ccc2f3204bb7794a92b2ad96ec66d1f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=INT%20J%20INNOV%20COMPUT%20I&year=2022 https://www.webofscience.com/wos/woscc/summary/837ab6b6-afda-40f4-8ddc-c39973a7e789-c7a940a0/relevance/1
- Network-on-ReRAM for scalable processing-in-memory architecture designDabiri, Bita; Modarressi, Mehdi; Daneshtalab, Masoud24th Euromicro Conference on Digital System Design (DSD)2021 https://doi.org/10.1109/DSD53832.2021.00031
- Neural network based configuring of linear delta robots with reduced energy consumptionVodovozov, Valery; Raud, Zoja; Petlenkov, EduardRenewable energies environment and power quality journal2024 / p. 82-88 https://doi.org/10.24084/reepqj24.267
- Neural network control of green energy vehicles with blended braking systemsVodovozov, Valery; Petlenkov, Eduard; Aksjonov, Andrei; Raud, ZojaRenewable Energy & Power Quality Journal2021 / p. 344-349 https://doi.org/10.24084/repqj19.291 https://www.scopus.com/sourceid/21100916460 https://www.scopus.com/record/display.uri?eid=2-s2.0-85114684470&origin=inward&txGid=c39686ecbbea649b4f16c486461ff764
- Neural network-based model reference control of braking electric vehiclesVodovozov, Valery; Aksjonov, Andrei; Petlenkov, Eduard; Raud, ZojaEnergies2021 / art. 2373 https://doi.org/10.3390/en14092373 https://www.scopus.com/sourceid/62932 https://www.scopus.com/record/display.uri?eid=2-s2.0-85106400925&origin=inward&txGid=ca8c7a99e595556c1db48f411a9aea4c https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENERGIES&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000650193300001
- New categories of Safe Faults in a processor-based Embedded SystemGürsoy, Cemil Cem; Jenihhin, Maksim; Oyeniran, Adeboye Stephen; Piumatti, Davide; Raik, Jaan; Sonza Reorda, Matteo; Ubar, Raimund-Johannes2019 22nd International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Cluj-Napoca, Romania : proceedings2019 / 4 p. : ill https://doi.org/10.1109/DDECS.2019.8724642
- A new FPGA-based detection method for spurious variations in PCBA power distribution networkOdintsov, Sergei; Bozzoli, Ludovica; De Sio, Corrado; Sterpone, Luca; Jutman, Artur2019 22nd International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Cluj-Napoca, Romania : proceedings2019 / 6 p. : ill https://doi.org/10.1109/DDECS.2019.8724662
- A new mining life for non-feasible mineral deposits?Bodo, Balazs; Lopes, Luis; Rossi, Claudio; Stasi, Giorgia; Burlet, Christian; Henley, Stephen; Correia, Vitor; Pinkse, Tobias; Kot-Niewiadomska, Alicja; Ristolainen, AskoEGU General Assembly 20212021 / art. EGU21-12115 https://doi.org/10.5194/egusphere-egu21-12115
- A new virtual synchronous generator design based on the SMES system for frequency stability of low-inertia power gridsMagdy, Gaber; Bakeer, Abualkasim Ahmed Ali; Nour, Morsy; Petlenkov, EduardEnergies2020 / art. 5641, 17 p. : ill https://doi.org/10.3390/en13215641 https://www.scopus.com/sourceid/62932 https://www.scopus.com/record/display.uri?eid=2-s2.0-85101913050&origin=inward&txGid=00a9390ff5860696c9affadb45c76aa4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENERGIES&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000588982600001
- A new, non-invasive fish backpack biologger to measure the physical conditions experienced byswimming fish during downstream passageWagner, Falko; Busch, Andre; Buysse, David; Hoerner, Stefan; Kenndorf, Moritz; Pouwels, Ine; Rössger, Tom; Roth, Marcio Salgueiro; Schletterer, Martin; Stamm, Jürgen; Toming, Gert; Tuhtan, Jeffrey AndrewFish Passage 2022 : Opportunities and Innovation in a Changing World : 13–16 June 20222022 / p. 147 https://fishpassage.fisheries.org/wp-content/uploads/sites/57/2022/08/FPC_Program_YouTube-Links.pdf
- NN-SANARX model based control of a water tank system using embedded microcontroller ArduinoŠkiparev, Vjatšeslav; Belikov, Juri; Petlenkov, EduardProceedings of the 2019 10th IEEE International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications : IDAACS'2019, 18-21 Sept. 20192019 / p. 372-377 : ill https://doi.org/10.1109/IDAACS47747.2019
- NoM: network-on-memory for inter-bank data transfer in highly-banked memoriesRezaei, S.H.S.A.; Modarressi, M.; Ausavarungnirun, R.; Sadrosadati, M.; Mutlu, O.; Daneshtalab, MasoudIEEE computer architecture letters2020 / p. 80-83 http://dx.doi.org/10.1109/LCA.2020.2990599
- Nonlinear dynamics in PEH for enhanced power output and vibration suppression in metastructuresAlimohammadi, Hossein; Vassiljeva, Kristina; HosseinNia, S. Hassan; Petlenkov, EduardNonlinear Dynamics2024 / p. 12941 - 12963 https://doi.org/10.1007/s11071-024-09739-w https://www.scopus.com/sourceid/21576 https://www.scopus.com/record/display.uri?eid=2-s2.0-85194765877&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1007%2Fs11071-024-09739-w%29&sessionSearchId=971b6d536926a71d00c3665b57fa29cc&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=NONLINEAR%20DYNAM&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001235692000006
- Nonlinear orientation controller for a compliant robotic fish based on asymmetric actuationMeurer, Christian; Simha, Ashutosh; Kotta, Ülle; Kruusmaa, Maarja2019 International Conference on Robotics and Automation : ICRA 2019, Palais des Congres de Montreal, Canada, 20-24 May, 20192019 / Art. 8793892 ; p. 4688-4694 https://doi.org/10.1109/ICRA.2019.8793892 https://www.scopus.com/sourceid/25456 https://www.scopus.com/record/display.uri?eid=2-s2.0-85071486622&origin=inward&txGid=582d4539cc0fba3224764252cebfb6c1 https://www.webofscience.com/wos/woscc/full-record/WOS:000494942303057
- Not just the pump; broader considerations for downstream migrating silver eels at a ‘fishfriendly’ pumping stationEvans, Oliver; Bolland, Jonathan; Carter, Liam; Hutchinson, Thomas; Collier, Stephen; Don, Andrew; Wright, Rosalind; Tuhtan, Jeffrey Andrew; Toming, GertFish Passage 2022 : Opportunities and Innovation in a Changing World : 13–16 June 20222022 / p. 34 https://fishpassage.fisheries.org/wp-content/uploads/sites/57/2022/08/FPC_Program_YouTube-Links.pdf
- A novel approach for aquatic gait analysis using wearable inertial and hydrodynamic pressure sensors = Uudne lähenemisviis veealuse kõnnaku analüüsiks, kasutades kantavaid inertsiaalseid ja hüdrodünaamilisi rõhuandureidMonoli, Cecilia2024 https://www.ester.ee/record=b5685206*est https://digikogu.taltech.ee/et/Item/f6ca5db2-99f6-48c2-98f8-c6ae343ddf7f https://doi.org/10.23658/taltech.22/2024
- Novel architectures for contractive autoencoders with embedded learningKerner, Madis; Tammemäe, Kalle; Raik, Jaan; Hollstein, Thomas2020 17th Biennial Baltic electronics conference, Tallinn, Estonia, October 6-8, 2020 : proceedings2020 / 6 p. : ill https://doi.org/10.1109/BEC49624.2020.9277246
- A Novel driver performance model based on machine learningAksjonov, Andrei; Nedoma, Pavel; Vodovozov, Valery; Petlenkov, Eduard; Herrmann, MartinIFAC-PapersOnLine2018 / p. 267-272 : ill http://dx.doi.org/10.1016/j.ifacol.2018.07.044
- A novel fault-tolerant logic style with self-checking capabilityTaheri, Mahdi; Sheikhpour, Saeideh; Mahani, Ali; Jenihhin, MaksimProceedings - 2022 IEEE 28th International Symposium on On-Line Testing and Robust System Design, IOLTS 20222022 / art. 183305 : ill https://doi.org/10.1109/IOLTS56730.2022.9897818
- Novel mechanisms of robot locomotion : variable stiffness actuators for underwater and multi-phase environments = Robotite uudsed liikumismehhanismid : muutuva jäikusega täiturid veealustes ja mitmefaasilistes keskkondadesGkliva, Roza2023 https://doi.org/10.23658/taltech.3/2023 https://digikogu.taltech.ee/et/Item/b1135cd8-02a8-44f8-8b2c-d66d019ea63c https://www.ester.ee/record=b5537817*est
- Novel Neural Network accelerator architectures for FPGAs = Uudsed närvivõrkude kiirendite arhitektuurid FPGAdeleKerner, Madis2024 https://www.ester.ee/record=b5675484*est https://digikogu.taltech.ee/et/Item/3568fe35-19c3-43e6-9525-73c79371ab13 https://doi.org/10.23658/taltech.16/2024
- A novel physical fatigue assessment method utilizing heart rate variability and pulse arrival time towards personalized feedback with wearable sensorsAllik, Ardo; Pilt, Kristjan; Viigimäe, Moonika; Fridolin, Ivo; Jervan, GertSensors2022 / art. 1680 https://doi.org/10.3390/s22041680 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85124908913&origin=inward&txGid=6270f3f6b12c6072ec7d6b502e370b5d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000763024000001
- Numbrid, elu ja pilvetehnoloogia : [noorteadlaste konkursi Teadus kolme minutiga ettekanne]Kõusaar, JaakTeadus kolme minutiga : 2017-20192019 / lk. 122-125 : fot https://www.ester.ee/record=b5245642*est
- Numerical simulation and experimental verification of downstream fish migration in a bulb turbineBenigni, Helmut; Schneider, Josef; Reckendorfer, Walter; Schiffer, J.; Tuhtan, Jeffrey Andrew; Leithner, S.; Zenz, Gerald; Meusburger, P.31st IAHR Symposium on Hydraulic Machinery and Systems 26/06/2022 - 01/07/2022 Trondheim, Norway. Vol. 10792022 / 10 p. : ill https://doi.org/10.1088/1755-1315/1079/1/012101 https://www.scopus.com/sourceid/19900195068 https://www.scopus.com/record/display.uri?eid=2-s2.0-85141774691&origin=inward&txGid=f12622d724ed01df6bb013c17216cf03
- Numerical simulation and experimental verification of downstream fish migration in a Kaplan turbineBenigni, Helmut; Schneider, Josef; Reckendorfer, Walter; Jaberg, Helmut; Zenz, Gerald; Tuhtan, Jeffrey AndrewIOP Conference Series: Earth and Environmental Science ; 7742021 / art. 012149 https://doi.org/10.1088/1755-1315/774/1/012149 https://www.scopus.com/sourceid/19900195068 https://www.scopus.com/record/display.uri?eid=2-s2.0-85108624949&origin=inward&txGid=21fe5e6b519611b555de85b919b0e660 https://www.webofscience.com/wos/woscc/full-record/WOS:000712043400149
- A numerical study for plant-independent evaluation of fractional-order PID controller performanceAlagoz, Baris Baykant; Tepljakov, Aleksei; Yeroglu, Celaleddin; Gonzalez, Emmanuel A.; Hossein Nia, S. Hassan; Petlenkov, EduardIFAC-PapersOnLine2018 / p. 539-544 : ill https://doi.org/10.1016/j.ifacol.2018.06.151 https://www.scopus.com/sourceid/21100456158 https://www.scopus.com/record/display.uri?eid=2-s2.0-85048839993&origin=inward&txGid=f5a687f4437f852ec56b28584460f597 https://www.webofscience.com/wos/woscc/full-record/WOS:000435709300093
- NV-SP: A new high performance and low energy NVM-Based scratch padShalabi, Ameer; Paul, Kolin; Ghasempouri, Tara; Raik, Jaan2020 IEEE Computer Society Annual Symposiumon VLSI : ISVLSI 2020, 6–8 July 2020, Limassol, Cyprus2020 / art. 19876866, p. 54−59 https://doi.org/10.1109/ISVLSI49217.2020.00020
- Nädala lood: jätkuvad halvad uudised ehitusestRõuk, Viivikaaripaev.ee2023 https://www.aripaev.ee/uudised/2023/10/21/nadala-lood-jatkuvad-halvad-uudised-ehitusest
- Obfuscating the hierarchy of a digital IPBasiashvili, Giorgi; Abideen, Zain Ul; Pagliarini, Samuel NascimentoEmbedded Computer Systems : Architectures, Modeling, and Simulation :22nd International Conference, SAMOS 2022, Samos, Greece, July 3-7, 2022 : proceedings2022 / p. 303-314 https://doi.org/10.1007/978-3-031-15074-6_28 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85136925059&origin=inward&txGid=23ee61f4e0efc518acc13a0119588a60 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000874744300019
- Object detection for rescue operations by high-altitude infrared thermal imaging collected by unmanned aerial vehiclesPolukhin, Andrii; Gordienko, Yuri; Jervan, Gert; Stirenko, SergiiPattern Recognition and Image Analysis : 11th Iberian Conference, IbPRIA 2023, Alicante, Spain, June 27–30, 2023 : proceedings2023 / p. 490-504 https://doi.org/10.1007/978-3-031-36616-1_39 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85164920701&origin=inward&txGid=dea8fe2389e5b48c9919d3ca0c43bc56
- Observation and stabilisation of coupled time‐fractional reaction–advection–diffusion systems with spatially‐varying coefficientsChen, Juan; Tepljakov, Aleksei; Petlenkov, EduardIET control theory and applications2020 / p. 3128−3138 https://doi.org/10.1049/iet-cta.2020.0520 https://www.scopus.com/sourceid/5100155079 https://www.scopus.com/record/display.uri?eid=2-s2.0-85102361273&origin=inward&txGid=4d9d9d4c4bf1e525a2eb4f739765157f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IET%20CONTROL%20THEORY%20A&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000626841000014
- Observer design for boundary coupled fractional order distributed parameter
systemsZhou, Yanjiu; Chen, Juan; Cui, BaotongICCMA 2019 : proceedings of the 7th International Conference on Control, Mechatronics and Automation2019 / p. 384-388 : ill https://doi.org/10.1109/ICCMA46720.2019.8988754
- Odavrobootika levik muudab lahinguvälja ja relvaturguOidsalu, MeelisPostimees2023 / Lk. 18-19 https://dea.digar.ee/article/postimees/2023/11/14/20.1
- On antagonism between side-channel security and soft-error reliability in BNN inference enginesLai, Xinhui; Lange, Thomas; Balakrishnan, Aneesh; Alexandrescu, Dan; Jenihhin, MaksimIFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC)2021 / p. 1-6 https://doi.org/10.1109/VLSI-SoC53125.2021.9606981
- On BTI aging rejuvenation in memory address decodersGürsoy, Cemil Cem; Kraak, Daniel; Ahmed, Foisal; Taouil, Mottaqiallah; Jenihhin, Maksim; Hamdioui, Said2022 IEEE 23rd Latin American Test Symposium, LATS 20222022 / Code 184360 https://doi.org/10.1109/LATS57337.2022.9936940
- On NBTI-induced aging analysis in IEEE 1687 reconfigurable scan networksDamljanovic, Aleksa; Squillero, Giovanni; Gürsoy, Cemil Cem; Jenihhin, MaksimVLSI-SoC 2019 : 27th IFIP/IEEE International Conference on Very Large Scale Integration : [proceedings]2019 / p. 335-340 : ill https://doi.org/10.1109/VLSI-SoC.2019.8920313
- On robust stability of uncertain control systems with time delay : an approach based on the overlap of value setsGhorbani, Majid; Tepljakov, Aleksei; Petlenkov, EduardIEEE Transactions on Automatic Control2024 / p. 6349 - 6356 https://doi.org/10.1109/TAC.2024.3381912 https://www.scopus.com/sourceid/17339 https://www.scopus.com/record/display.uri?eid=2-s2.0-85189171573&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FTAC.2024.3381912%29&sessionSearchId=a0b004311765aaa9de5876f4bd631847&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20AUTOMAT%20CONTR&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001302507600028
- On test generation for microprocessors for extended class of functional faultsOyeniran, Adeboye Stephen; Ubar, Raimund-Johannes; Jenihhin, Maksim; Raik, JaanVLSI-SoC: New technology enabler : 27th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2019 Cusco, Peru, October 6–9, 2019 : Revised and Extended Selected Papers2020 / p. 21-44 https://doi.org/10.1007/978-3-030-53273-4 https://www.scopus.com/sourceid/19400157163 https://www.scopus.com/record/display.uri?eid=2-s2.0-85089210353&origin=inward&txGid=d04ed701b074b0e8cf52e648bbb652e8
- On the estimation of complex circuits functional failure rate by machine learning techniquesLange, Thomas; Balakrishnan, Aneesh; Glorieux, Maximilien; Alexandrescu, Dan; Sterpone, Luca49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks - DSN 2019 : Supplemental Volume : proceedings2019 / p. 35-41 : ill https://doi.org/10.1109/DSN-S.2019.00021
- On the shoulders of giants : colourful argument trees for academic writingKruusmaa, Maarja; Moktefi, Amirouche; Tuhtan, Jeffrey AndrewDiagrammatic representation and inference : 11th International Conference, Diagrams 2020, Tallinn, Estonia, August 24–28, 2020 : proceedings2020 / p. 520-524 https://doi.org/10.1007/978-3-030-54249-8_48 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85090043792&origin=inward&txGid=13ccf4535431aa6115a53fbd5f1ac4b2 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000711951500048
- On the use of defensive schemes for hardware security = Kaitseskeemid riistvara turvalisuse tagamiseksEslami, Mohammad2024 https://www.ester.ee/record=b5701420*est https://doi.org/10.23658/taltech.53/2024 https://digikogu.taltech.ee/et/Item/068530be-4810-4489-9604-fb838d298b45
- On-chip sensors data collection and analysis for SoC health managementShibin, Konstantin; Jenihhin, Maksim; Jutman, Artur; Devadze, Sergei; Tsertov, Anton2023 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)2023 / 6 p https://doi.org/10.1109/DFT59622.2023.10313562
- Ontology design for automatic evaluation of web user interface usability [Electronic resource]Robal, Tarmo; Marenkov, Jevgeni; Kalja, AhtoPICMET '17 : Portland International Conference on Management of Engineering and Technology : Technology Management for the Interconnected World : proceedings2017 / p. 1-8 : ill. [USB] https://doi.org/10.23919/PICMET.2017.8125425
- Ontology-based design of educational trajectoriesRaud, Zoja; Vodovozov, Valery; Petlenkov, Eduard; Serbin, Aleksandr59th Annual International Scientific Conference on Power and Electrical Engineering : November 12, 13, 2018, Riga Technical University (RTUCON) : conference proceedings2018 / 4 p. . ill https://doi.org/10.1109/RTUCON.2018.8659893
- An open 3D CFD model for the investigation of flow environments experienced by freshwater fishKhan, Ali Hassan; Hussmann, Karla Ruiz; Powalla, Dennis; Hoerner, Stefan; Kruusmaa, Maarja; Tuhtan, Jeffrey AndrewEcological Informatics2022 / art. 101652, 12 p. : ill https://doi.org/10.1016/j.ecoinf.2022.101652 https://www.scopus.com/sourceid/3100147401 https://www.scopus.com/record/display.uri?eid=2-s2.0-85129911172&origin=inward&txGid=aee21d3539f89c16ba629caf6bd61290 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ECOL%20INFORM&year=2021 https://www.webofscience.com/wos/woscc/full-record/WOS:000798744100001
- Open environmental data assimilation under unknown uncertainty and multiple spatio-temporal scales [Electronic resource] = Keskkonna avaandmete assimilatsioon tundmatu määramatuse ning erinevate aeg-ruumi skaalade korralMiasayedava, Lizaveta2024 https://www.ester.ee/record=b5673370*est https://digikogu.taltech.ee/et/Item/9084dbfd-dadb-4f0c-b146-781bc6a5c487 https://doi.org/10.23658/taltech.13/2024
- An open surface drifter for river flow field characterizationFuentes-Pérez, Juan Francisco; Sanz-Ronda, Francisco Javier; Tuhtan, Jeffrey AndrewSensors2022 / art. nr. 9918 https://doi.org/10.3390/s22249918 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85144518739&origin=inward&txGid=1f36837ddd757397641618089ecd987a https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000904531000001
- Open urban mmWave radar and camera vehicle classification dataset for traffic monitoringSoom, Jürgen; Leier, Mairo; Janson, Karl; Tuhtan, Jeffrey A.IEEE Access2024 / p. 65128 - 65140 https://doi.org/10.1109/ACCESS.2024.3397013 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85193027477&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FACCESS.2024.3397013%29&sessionSearchId=442fbb74631182ec7fe7ff1a8fe86a34&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001219243300001
- An open-source library of large integer polynomial multipliersImran, Malik; Abideen, Zain Ul; Pagliarini, Samuel Nascimento24th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Vienna, Austria, April 7-9 20212021 / p. 145-150 : ill https://doi.org/10.1109/DDECS52668.2021.9417065
- Open-source software for modeling and analysis of power networks in the dq0 reference frameLevron, Yoash; Belikov, Juri2017 IEEE Manchester PowerTech (PowerTech 2017) : Manchester, United Kingdom, 18-22 June 20172017 / p. 623-628 : ill https://doi.org/10.1109/PTC.2017.7980912
- Optical spectrum services in Open Disaggregated Transport Networks = Optilise spektri teenused avatud lainepikkustihendus võrkudesKaeval, Kaida2023 https://doi.org/10.23658/taltech.13/2023 https://digikogu.taltech.ee/et/Item/aeb5432f-9aff-4683-bcd6-1bc5b04432d0 https://www.ester.ee/record=b5557127*est
- Optimal control of energy storage devices based on Pontryagin's minimum principle and the shortest path methodZargari, Noa; Levron, Yoash; Belikov, JuriProceedings of 2019 IEEE PES : Innovative Smart Grid Technologies Europe : (ISGT-Europe), Bucharest Romania, 29 September – 2 October, 20192019 / 5 p https://doi.org/10.1109/ISGTEurope.2019.8905748
- Optimal robust filter of uncertain fractional order systems : a penalized deterministic approachNosrati, Komeil; Belikov, Juri; Tepljakov, Aleksei; Petlenkov, EduardIEEE Control Systems Letters2023 / p. 1075 - 1080 https://doi.org/10.1109/LCSYS.2022.3230773 https://www.scopus.com/sourceid/21100885366 https://www.scopus.com/record/display.uri?eid=2-s2.0-85146216175&origin=resultslist&sort=plf-f&src=s&sid=d3179e9427b784eb52d784243f66386f&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Optimal+Robust+Filter+of+Uncertain+Fractional+Order+Systems%3A+A+Penalized+Deterministic+Approach%22%29&sl=127&sessionSearchId=d3179e9427b784eb52d784243f66386f&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20CONTR%20SYST%20LETT&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000907942800002
- Optimization of physical activity recognition for real-time wearable systems : effect of window length, sampling frequency and number of featuresAllik, Ardo; Pilt, Kristjan; Karai, Deniss; Fridolin, Ivo; Leier, Mairo; Jervan, GertApplied sciences2019 / art. 4833, 14 p. : ill https://doi.org/10.3390/app9224833 https://www.scopus.com/sourceid/21100829268 https://www.scopus.com/record/display.uri?eid=2-s2.0-85075236405&origin=inward&txGid=dc065613b0f19b3c614d9e6fba97737b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=APPL%20SCI-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000502570800110
- An optimized design of delay-and energy-efficient Booth multiplierRafiq, Ahsan; Jenihhin, Maksime-Prime - Advances in Electrical Engineering, Electronics and Energy2024 / art. 100698 https://doi.org/10.1016/j.prime.2024.100698 https://www.scopus.com/sourceid/21101132922 https://www.scopus.com/record/display.uri?eid=2-s2.0-85199458827&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1016%2Fj.prime.2024.100698%29&sessionSearchId=122615d9f955ef6b8e3916961131e6f0&relpos=0
- Orchestration of serverless functions for scalable association rule mining with ApolloShahin, Mahtab; Janatian, Nasmine; Poveda, Juan Aznar; Fahringer, Thomas; Ghasempouri, Tara; Shah, Syed Attique; Draheim, Dirktechrxiv.org2024 / 20 p https://doi.org//10.36227/techrxiv.172101151.16682756/v1
- OTA-C realization of PIλ brake and throttle controllers for autonomous vehiclesDimeas, Ilias; Psychalinos, Costas; Elwakil, Ahmed; Tepljakov, Aleksei2017 European Conference on Circuit Theory and Design (ECCTD 2017) : Catania, Italy, 4 - 6 September, 20172017 / p. 39-40 : ill http://dx.doi.org/10.1109/ECCTD.2017.8093229
- An overview of FPGA-inspired obfuscation techniquesAbideen, Zain Ul; Gokulanathan, Sumathi; Aljafar, Muayad J.; Pagliarini, Samuel NascimentoarXiv.org2023 / 30 p. : ill https://doi.org/10.48550/arXiv.2305.15999
- Pandeemia lõi uue maailma. Milline see on?Päärt, Villupostimees2020 / Lk. 8-9 : ill https://leht.postimees.ee/6984645/pandeemia-loi-uue-maailma-milline-see-on https://www.ester.ee/record=b1072778*est
- A Pan-European environmental flow conceptParasiewicz, Piotr; Tuhtan, Jeffrey Andrew; Prus, Pawel; Suska, KatarzynaRiverine landscapes as coupled socio-ecological systems : 6th biennial Symposium of the International Society for River Science : book of abstracts2019 / p. 108 https://www.bib.irb.hr/1025362/download/1025362.ISRS2019_book_of_abstracts.pdf
- Parallel critical path tracing fault simulation in sequential circuitsKõusaar, Jaak; Ubar, Raimund-Johannes; Kostin, Sergei; Devadze, Sergei; Raik, JaanProceedings of 25th International Conference MIXED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS : MIXDES 2018 : Gdynia, Poland, June 21–23, 20182018 / p. 305-310 : ill https://doi.org/10.23919/MIXDES.2018.8436880
- Parallel pseudo-exhaustive testing of array multipliers with data-controlled segmentationOyeniran, Adeboye Stephen; Azad, Siavoosh Payandeh; Ubar, Raimund-Johannes2018 IEEE International Symposium on Circuits and Systems (ISCAS) : 27-30 May 2018, Florence, Italy : proceedings2018 / 5 p.: ill https://doi.org/10.1109/ISCAS.2018.8350936 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85057101928&origin=inward&txGid=49e361500f6fe5902264fae2d90ceb95 https://www.webofscience.com/wos/woscc/full-record/WOS:000451218700050
- PASCAL : timing SCA resistant design and verification flowLai, Xinhui; Jenihhin, Maksim; Raik, Jaan; Paul, Kolin2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS 2019) : 1-3 July 2019, Greece2019 / p. 239-242 : ill https://doi.org/10.1109/IOLTS.2019.8854458
- Pavement defect segmentation in orthoframes with a pipeline of three convolutional neural networksLõuk, Roland; Riid, Andri; Pihlak, Rene; Tepljakov, AlekseiAlgorithms2020 / art. 198, 27 p. : ill https://doi.org/10.3390/a13080198 https://www.scopus.com/sourceid/21100199795 https://www.scopus.com/record/display.uri?eid=2-s2.0-85090390206&origin=inward&txGid=26edbca5668d23b701c1f11234fbac1d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ALGORITHMS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000567145900001
- Pavement distress detection with deep learning using the orthoframes acquired by a mobile mapping systemRiid, Andri; Lõuk, Roland; Pihlak, Rene; Tepljakov, Aleksei; Vassiljeva, KristinaApplied sciences2019 / art. 4829, 22 p. : ill https://doi.org/10.3390/app9224829 https://www.scopus.com/sourceid/21100829268 https://www.scopus.com/record/display.uri?eid=2-s2.0-85075257880&origin=inward&txGid=a5d19b5bd2746d7487943dea35ff101b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=APPL%20SCI-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000502570800106
- A PD-type iterative learning algorithm for semi-linear distributed parameter systems with sensors/actuatorsZhang, Jianxiang; Cui, Baotong; Jiang, Zhengxian; Chen, JuanIEEE Access2019 / p. 159037-159047 : ill https://doi.org/10.1109/ACCESS.2019.2950456 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85078247136&origin=inward&txGid=5beaa3f753b6d303a58490f0e058bcd5 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000497167600019
- Penalized least-squares method for LQR problem of singular systemsNosrati, Komeil; Belikov, Juri; Tepljakov, Aleksei; Petlenkov, Eduard62nd IEEE Conference on Decision and Control, CDC 2023, Singapore, 13-15 December 20232023 / p. 1251-1256 https://doi.org/10.1109/CDC49753.2023.10383412 https://www.scopus.com/sourceid/87424 https://www.scopus.com/record/display.uri?eid=2-s2.0-85184819841&origin=inward&txGid=a7aec4d732db1275c5c61e10c0354cca https://www.webofscience.com/wos/woscc/full-record/WOS:001166433801009
- Performance estimation of embedded applications on microcontrollersRuberg, Priit; Lass, Keijo; Liiv, Elvar; Ellervee, Peeter2017 IEEE Nordic Circuits and Systems Conference (NORCAS 2017): NORCHIP and International Symposium of System-on-Chip (SoC 2017) : Linkoping, Sweden, 23-25 October, 20172017 / p. 170-175 : ill http://dx.doi.org/10.1109/NORCHIP.2017.8124964
- Performance improvement of decision tree : a robust classifier using tabu search algorithmHafeez, Muhammad Asfand; Rashid, Muhammad; Tariq, Hassan; Abideen, Zain Ul; Alotaibi, Saud S.; Sinky, Mohammed H.Applied Sciences (Switzerland)2021 / art. 6728 https://doi.org/10.3390/app11156728 https://www.scopus.com/sourceid/21100829268 https://www.scopus.com/record/display.uri?eid=2-s2.0-85111580287&origin=resultslist&sort=plf-f&src=s&sid=f2a8853f372ce504f462a0b0851eba91&sot=b&sdt=b&s=DOI%2810.3390%2Fapp11156728%29&sl=23&sessionSearchId=f2a8853f372ce504f462a0b0851eba91&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=APPL%20SCI-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000681946000001
- Persoon : Gert JervanMänd, Krööt Grete; Jervan, GertStudioosus2019 / lk. 6-9 https://www.ester.ee/record=b1558644*est
- PHA-based feedback control of a biomimetic AUV for diver following : design, simulations and real-time experimentsRatas, Mart; Chemori, Ahmed; Kruusmaa, MaarjaEuropean Control Conference (ECC)2022 / p. 503-509 https://doi.org/10.23919/ECC55457.2022.9838054
- Philosophie und Methode : Fachdisziplin Ethohydraulik – wie geht das?Lehmann, Boris; Bensing, Katharina; Adam, Beate; Schwevers, Ulrich; Tuhtan, Jeffrey AndrewEthohydraulik : Eine Methode für naturverträglichen Wasserbau2021 / S. 11-22 https://doi.org/10.1007/978-3-658-32824-5_2
- Phosphorus elimination and recovery from wastewater and process water with reusable nanocomposite magnetic particlesIvanova Drenkova-Tuhtan, Asya3rd European Sustainable Phosphorus Conference 2018 : (ESPC3), Helsinki, Finlandia Hall, 11-13 June 2018 : posters2018 / 1 p.: ill https://phosphorusplatform.eu/images/Conference/ESPC3/ESPC3-Final-programme-2018-06-08.pdf
- Phosphorus Elimination and Recovery from Wastewater with Reusable Nanocomposite Magnetic ParticlesIvanova Drenkova-Tuhtan, Asya2018 https://www.gbv.de/dms/tib-ub-hannover/1022381059.pdf https://stg.ibs-bw.de/aDISWeb/app;jsessionid=064570008531EF23FB37A62CE4C366F2
- Phosphorus recovery from sewage sludge - P leaching behaviour from various types of postprecipitated tertiary sludgeMonea, Marlena; Preyl, Volker; Meyer, Carsten; Ivanova Drenkova-Tuhtan, Asya3rd IWA Resource Recovery Conference : IWA RR2019, Venice (Italy), 08-12 September 20192019 / p. 63 https://www.iwarr2019.org/wp-content/uploads/2019/09/IWARR2019_PROGRAMME_extended-program_FINAL_06092019.pdf
- Phosphorus recovery from sewage sludge – phosphorus leaching behavior from aluminum containing tertiary and anaerobically digested sludgeMonea, Marlena; Meyer, Carsten; Steinmetz, Heidrun; Schönberger, Harald; Ivanova Drenkova-Tuhtan, AsyaWater science and technology Water science & technology2020 / p. 1509-1522 https://doi.org/10.2166/wst.2020.414 https://www.scopus.com/sourceid/19376 https://www.scopus.com/record/display.uri?eid=2-s2.0-85094932629&origin=inward&txGid=ff16f1e781aa230dcfb84991c29bfcf6 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=WATER%20SCI%20TECHNOL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000588385500002
- Pick-and-place operation of linear Delta robots with low energy consumptionVodovozov, Valery; Lehtla, Madis; Raud, Zoja; Petlenkov, Eduard2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 6 p
- Piezoelectric compensation of structural damping in metamaterial beams: stability and performance analysisAlimohammadi, Hossein; Vassiljeva, Kristina; HosseinNia, S. Hassan; Ellervee, Peeter; Petlenkov, EduardActive and Passive Smart Structures and Integrated Systems XVIII2024 / art. 129460J, 11 p. : ill https://doi.org/10.1117/12.3024120 https://www.scopus.com/sourceid/40067 https://www.scopus.com/record/display.uri?eid=2-s2.0-85194736351&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Piezoelectric+compensation+of+structural+damping+in+metamaterial+beams%3A+stability+and+performance+analysis%22%29&sessionSearchId=c65fb8eef6799694a328f1f7816934f2&relpos=1 https://www.webofscience.com/wos/woscc/full-record/WOS:001235337900008
- "Plekktrummis" on külas professor Gert JervanViilup, Kasparkultuur.err.ee2023 https://kultuur.err.ee/1608939392/plekktrummis-on-kulas-professor-gert-jervan
- Post-silicon validation of IEEE 1687 reconfigurable scan networksDamljanovic, Aleksa; Jutman, Artur; Squillero, Giovanni; Tšertov, Anton2019 IEEE European Test Symposium (ETS) : proceedings2019 / 6 p. : ill https://doi.org/10.1109/ETS.2019.8791546
- Practical demand side management and demand response in large scale buildings with multiple case studiesKöse, Ahmet; Sukhanov, Ivan; Maivel, Mikk; Tepljakov, Aleksei; Hokmabad, Hossein Nourollahi; Petlenkov, Eduard2024 20th International Conference on the European Energy Market (EEM)2024 / 5 p https://doi.org/10.1109/EEM60825.2024.10608965 https://www.scopus.com/sourceid/21100278101 https://www.scopus.com/record/display.uri?eid=2-s2.0-85201389500&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=ALL%28%22Practical+Demand+Side+Management+and+Demand+Response+in+Large+Scale+Buildings+with+Multiple+Case+Studies%22%29&sessionSearchId=a1950993a8713b95c4defac4e2fbf8c1&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001293146800111
- Practical real-time data-driven approach for district cooling plant operational optimizationSukhanov, Ivan; Köse, Ahmet; Tepljakov, Aleksei; Petlenkov, Eduard; Belikov, JuriIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2024) : proceedings2024 / 5 p https://doi.org/10.1109/ISGTEUROPE62998.2024.10863248
- A pragmatic methodology for blind hardware trojan insertion in finalized layoutsHepp, Alexander; Perez, Tiago Diadami; Pagliarini, Samuel Nascimento; Sigl, GeorgICCAD '22: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design2022 / art. 69, p. 1-9 : ill https://doi.org/10.1145/3508352.3549452 https://www.scopus.com/sourceid/51882 https://www.scopus.com/record/display.uri?eid=2-s2.0-85137785373&origin=inward&txGid=b339c373005c47ea7212f2cc0bbbf3de https://www.webofscience.com/wos/woscc/full-record/WOS:000981574300068
- Predict the remaining useful life in HVAC filters using a hybrid strategyAlimohammadi, Hossein; Vassiljeva, Kristina; Petlenkov, Eduard; Kull, Tuule Mall; Thalfeldt, MartinCLIMA 2022: the 14th REHVA HVAC World Congress, 22nd – 25th May in Rotterdam, The Netherlands2022 / p. 1-8 https://doi.org/10.34641/clima.2022.273
- Predicting students' behavior during an e-learning course using data miningUmbleja, Kadri; Ichino, ManabuInteractive Collaborative Learning : proceedings of the 19th ICL Conference. Volume 22017 / p. 175-189 https://doi.org/10.1007/978-3-319-50340-0_14 https://www.scopus.com/sourceid/5100152904 https://www.scopus.com/record/display.uri?eid=2-s2.0-85010075852&origin=inward&txGid=18a18843b2e2daa48a96884d6948b513
- PrefaceRobal, Tarmo; Kalibatiene, Diana; Karnitis, Girts; Ivanovic, Mirjana; Kirikova, Marite; Niedrite, Laila; Borzovs, JurisJoint Proceedings of Baltic DB&IS 2022 Doctoral Consortium and Forum co-located with 15th International Baltic Conference on Digital Business and Intelligent Systems (Baltic DB&IS 2022)2022 / p. ii https://ceur-ws.org/Vol-3158/xpreface.pdf
- PrefaceRobal, Tarmo; Haav, Hele-Mai; Penjam, Jaan; Matulevičius, RaimundasDatabases and Information Systems : 14th International Baltic Conference, DB&IS 2020, Tallinn, Estonia, June 16-19, 2020 : Proceedings2020 / p. v-vi https://doi.org/10.1007/978-3-030-57672-1 https://www.scopus.com/sourceid/17700155007 https://www.scopus.com/record/display.uri?eid=2-s2.0-85089734911&origin=inward&txGid=bf1bd1baf8b2bdebb58a172e7175d219
- PrefaceLyapin, Alexandr; Murgul, Vera; Beskopylny, A.N.; Porksheyan, V.M.; Komakhidze, M.G.; Sukhinov, A.I.; Chetverushkin, B.N.; Avetisyan, A.I.; Kalyaev, I.A; Ubar, Raimund-JohannesJournal of Physics: Conference Series2021 / art. 011001, 1 p https://doi.org/10.1088/1742-6596/2131/1/011001 https://www.scopus.com/sourceid/130053 https://www.scopus.com/record/display.uri?eid=2-s2.0-85124020554&origin=inward&txGid=98840f79b305d790e91ac76e63daa1e3
- PrefaceHollstein, Thomas; Raik, Jaan; Kostin, Sergei; Tšertov, Anton; O’Connor, Ian; Reis, RicardoVLSI-SoC: System-on-Chip in the Nanoscale Era – Design, Verification and Reliability, 24th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016 : Revised Selected Papers2017 / p. V-VI https://link.springer.com/book/10.1007/978-3-319-67104-8 https://www.scopus.com/sourceid/19400157163 https://www.scopus.com/record/display.uri?eid=2-s2.0-85029519418&origin=inward&txGid=d15d78fac69c895336e4a051ac8bafb2
- Preface from General Co-Chairs: PDP 2020Daneshtalab, Masoud; Francesco, L.; Sjodin, Mikael2020 28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing : PDP 2020, Västerås, Sweden, 11-13 March 20202020 / 1 p https://doi.org/10.1109/PDP50117.2020.00005
- Pressure and inertia sensing drifters for glacial hydrology flow path measurementsAlexander, Andreas; Kruusmaa, Maarja; Tuhtan, Jeffrey Andrew; Hodson, Andrew J.; Schuler, Thomas V.; Kääb, AndreasThe cryosphere2020 / p. 1009-1023 https://doi.org/10.5194/tc-14-1009-2020 https://www.scopus.com/sourceid/11700154363 https://www.scopus.com/record/display.uri?eid=2-s2.0-85082425791&origin=inward&txGid=1012634ecae0805b859adb99d70cef74 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=CRYOSPHERE&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000521141800001
- Pressure LaPlacian measurement with a bioinspired fish-shaped lateral line probeTuhtan, Jeffrey Andrew; Fuentes-Pérez, Juan Francisco; Schletterer, Martin; Kruusmaa, MaarjaHydroSenSoft, International Symposium and Exhibition on Hydro-Environment Sensors and Software : 1-3 March 2017, Madrid, Spain2017 / p. 1-6 : ill
- Preventing distillation-based attacks on Neural Network IPGrailoo, Mahdieh; Abideen, Zain Ul; Leier, Mairo; Pagliarini, Samuel NascimentoarXiv.org2022 / 7 p. : ill https://doi.org/10.48550/arXiv.2204.00292
- A probabilistic synapse with strained MTJs for Spiking Neural NetworksPagliarini, Samuel Nascimento; Bhuin, Sudipta; Isgenc, Mehmet Meric; Biswas, Ayan Kumar; Pileggi, LarryIEEE Transactions on Neural Networks and Learning Systems2020 / p. 1113-1123 : ill https://doi.org/10.1109/TNNLS.2019.2917819
- Processor vulnerability detection with the aid of assertions : RISC-V case studyHeidari Iman, Mohammad Reza; Ahmadi-Pour, Sallar; Drechsler, Rolf; Ghasempouri, Taratechrxiv.org2024 / p. 1-8 : ill https://doi.org/10.36227/techrxiv.172101134.45466090/v1
- Professorid Juri Belikov ja Eduard Petlenkov selgitavad, kuidas Eestit rohelisemaks muutagoodnews.ee2023 https://eestielu.goodnews.ee/professorid-juri-belikov-ja-eduard-petlenkov-selgitavad-kuidas-eestit-rohelisemaks-muuta/?utm_source=rss&utm_medium=rss&utm_campaign=professorid-juri-belikov-ja-eduard-petlenkov-selgitavad-kuidas-eestit-rohelisemaks-muuta https://www.toostusuudised.ee/uudised/2023/03/15/energiainformaatika-seob-omavahel-energia-ja-it-maailma
- Promotion of the students' success in higher engineering educationRaud, Zoja; Vodovozov, Valery; Aksjonov, Andrei; Petlenkov, Eduard2017 IEEE 58th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) : proceedings : Latvia, Riga, 12-13 October, 20172017 / [6] p. : ill https://doi.org/10.1109/RTUCON.2017.8124806
- QoSinNoC: analysis of QoS-aware NoC architectures for mixed-criticality applicationsAvramenko, Serhiy; Azad, Siavoosh Payandeh; Niazmand, Behrad; Raik, Jaan; Jenihhin, Maksim21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems : DDECS 2018 : Budapest, Hungary 25-27 April, 2018 : proceedings2018 / p. 67-72 : ill https://doi.org/10.1109/DDECS.2018.00-10
- QoS-MAN : a novel QoS mapping algorithm for TSN-5G flowsSatka, Zenepe; Ashjaei, Mohammad; Fotouhi, Hossein; Daneshtalab, Masoud; Sjodin, Mikael; Mubeen, Saad2022 IEEE 28th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA)2022 / p. 220-227 https://doi.org/10.1109/RTCSA55878.2022.00030
- QoT assessment of the optical spectrum as a service in disaggregated network scenariosKaeval, Kaida; Fehenberger, Tobias; Zou, Jim; Jansen, Sander Lars; Grobe, Klaus; Griesser, Helmut; Elbers, Jörg-Peter; Tikas, Marko; Jervan, GertJournal of Optical Communications and Networking2021 / art. 9436771, p. E1-E12 https://doi.org/10.1364/JOCN.423530 https://www.scopus.com/sourceid/17900156735 https://www.scopus.com/record/display.uri?eid=2-s2.0-85106975582&origin=inward&txGid=32f462d281b32f87ca473b793040ebb1 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20OPT%20COMMUN%20NETW&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000654692100001
- RAM-based mergers for data sort and frequent item computation [Electronic resource]Rjabov, Artjom; Sklyarov, Valery; Skliarova, Iouliia; Sudnitsõn, Aleksander2017 40th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), May 22 - 26, 2017, Opatija, Croatia : proceedings2017 / p. 176-181 : ill. [CD-ROM] http://dx.doi.org/10.23919/MIPRO.2017.7973413
- Ransomware attack as Hardware Trojan : a feasibility and demonstration studyAlmeida, Felipe; Imran, Malik; Raik, Jaan; Pagliarini, Samuel NascimentoIEEE Access2022 / p. 44827-44839 https://doi.org/10.1109/ACCESS.2022.3168991 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85129163754&origin=inward&txGid=16e190db632af5d9830eb3f60cff1f2b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000790727000001
- RAPTOR-UAV : real-time particle tracking in rivers using an unmanned aerial vehicleThumser, Philipp; Haas, Christian; Tuhtan, Jeffrey Andrew; Fuentes-Pérez, Juan Francisco; Toming, GertEarth surface processes and landforms2017 / p. 2439-2446 : ill https://doi.org/10.1002/esp.4199 https://www.scopus.com/sourceid/25751 https://www.scopus.com/record/display.uri?eid=2-s2.0-85032668309&origin=inward&txGid=5384268508b8b6e67842920433cb998b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=EARTH%20SURF%20PROC%20LAND&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000414348200017
- Real time data communication for intelligent extended reality applicationsKöse, Ahmet; Tepljakov, Aleksei; Petlenkov, Eduard2020 IEEE International Conference on Computational Intelligence and Virtual Environments for Measurement Systems and Applications (CIVEMSA), 22-24 June 2020 : proceedings2021 / 6 p https://doi.org/10.1109/CIVEMSA48639.2020.9132970
- Real-time gait anomaly detection using 1D-CNN and LSTMRostovski, Jakob; Ahmadilivani, Mohammad Hasan; Krivošei, Andrei; Kuusik, Alar; Alam, Muhammad MahtabDigital Health and Wireless Solutions : First Nordic Conference, NCDHWS 2024, Oulu, Finland, May 7–8, 2024 : Proceedings, Part II2024 / p. 260-278 https://doi.org/10.1007/978-3-031-59091-7_17 https://www.scopus.com/sourceid/17700155007 https://www.scopus.com/record/display.uri?eid=2-s2.0-85193513969&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=ALL%28%22Real-Time+Gait+Anomaly+Detection+Using+1D-CNN+and+LSTM%22%29&sessionSearchId=a1950993a8713b95c4defac4e2fbf8c1&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001265181000017
- Real-time localization and visualization of a sound source for virtual reality applicationKöse, Ahmet; Tepljakov, Aleksei; Astapov, Sergei2017 25th International Conference on Software, Telecommunications and Computer Networks (SoftCOM) : Split, Croatia, September 21-23, 20172017 / p 219-224 : ill https://doi.org/10.23919/SOFTCOM.2017.8115577 https://www.scopus.com/sourceid/19900191968 https://www.scopus.com/record/display.uri?eid=2-s2.0-85056513871&origin=inward&txGid=a9a52caccf87e35b8a4cd13a2ec68178
- Real-time regulation of beam-based feedback : implementing an FPGA solution for a continuous wave linear acceleratorMaalberg, Andrei; Kuntzsch, Michael; Petlenkov, EduardSensors2022 / art. 6236, 22 p. : ill https://doi.org/10.3390/s22166236 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85137691296&origin=inward&txGid=17dc928c8811c1431f07dc533714c732 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000845218200001
- Reconfigurable systems in engineering education : best practices and future trendsSkliarova, Iouliia; Sklyarov, Valery; Sudnitsõn, Aleksander; Kruus, MargusProceedings of 2017 IEEE Global Engineering Education Conference (EDUCON) : 25-28 April 2017, Athens, Greece2017 / p. 1084-1088 : ill https://doi.org/10.1109/EDUCON.2017.7942983
- Reduction of power system dynamic models using sparse representationsLevron, Yoash; Belikov, JuriIEEE transactions on power systems2017 / p. 3893-3900 : ill https://doi.org/10.1109/TPWRS.2017.2648979
- Refactoring - key to success for constantly developed projectsPõld, Janari; Kalja, Ahto; Robal, TarmoInformation modelling and knowledge bases XXVIII2017 / p. 13-22 https://doi.org/10.3233/978-1-61499-720-7-13 https://www.scopus.com/sourceid/19500157321 https://www.scopus.com/record/display.uri?eid=2-s2.0-85002926135&origin=inward&txGid=ff9869b44c9b4de299fc0d779a5d3dea https://www.webofscience.com/wos/woscc/full-record/WOS:000402391600002
- Regions of exponential stability in coefficient space for linear systems on nonuniform discrete domainsBelikov, Juri; Kaparin, VadimJournal of difference equations and applications2017 / p. 878-892 : ill https://doi.org/10.1080/10236198.2017.1304931 https://www.scopus.com/sourceid/23872 https://www.scopus.com/record/display.uri?eid=2-s2.0-85016127537&origin=inward&txGid=c37c7c56c51f0d6789f0b35c1e4203e9 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20DIFFER%20EQU%20APPL&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000407381300003
- Regulation of electron bunch arrival time for a continuous-wave linac : exploring the application of the H2 mixed-sensitivity problemMaalberg, Andrei; Kuntzsch, Michael; Zenker, Klaus; Petlenkov, EduardPhysical Review Accelerators and Beams2023 / art. 072801, 13 p. : ill https://doi.org/10.1103/PhysRevAccelBeams.26.072801 https://www.scopus.com/sourceid/21100788306 https://www.scopus.com/record/display.uri?eid=2-s2.0-85166916139&origin=inward&txGid=39be1e9f457f384f8c0dc48411cc68bd https://jcr.clarivate.com/jcr-jp/journal-profile?journal=PHYS%20REV%20ACCEL%20BEAMS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001050913100001
- Regulation of the linear accelerator ELBE exploiting continuous wave mode of a superconducting RF cavityMaalberg, Andrei; Kuntzsch, Michael; Petlenkov, Eduard2022 American Control Conference (ACC) : Atlanta, GA, USA, 8-10 June 2022 : proceedings2022 / p. 5346-5353 : ill https://doi.org/10.23919/ACC53348.2022.9867172 https://www.scopus.com/sourceid/18692 https://www.scopus.com/record/display.uri?eid=2-s2.0-85137721193&origin=resultslist&sort=plf-f&src=s&sid=d9d37ad6b8048f0f9ccde42ffcc3a0a0&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22ELBE+exploiting+continuous%22%29&sl=110&sessionSearchId=d9d37ad6b8048f0f9ccde42ffcc3a0a0&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:000865458704142
- ReImagine lab : bridging the gap between hands-on, virtual and remote control engineering laboratories using digital twins and extended realityAlsaleh, Saleh Ragheb Saleh; Tepljakov, Aleksei; Köse, Ahmet; Belikov, Juri; Petlenkov, EduardIEEE Access2022 / p. 89924-889943 : ill https://doi.org/10.1109/ACCESS.2022.3199371 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85136842315&origin=inward&txGid=fd3cf231dddbfc1b2718e65020bb3145 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000849262100001
- Reimagining laboratory learning with digital twins and extended realityAlsaleh, Saleh Ragheb Saleh22nd International Symposium “Topical Problems in the Field of Electrical and Power Engineering”. Doctoral School of Energy and Geotechnology III : Pärnu, Estonia, August 23-26, 20232023 / p. 25-26 : ill https://www.ester.ee/record=b5570906*est
- Reinforcement learning based MIMO controller for virtual inertia control in isolated microgridsŠkiparev, Vjatšeslav; Belikov, Juri; Petlenkov, Eduard; Levron, Yoash2022 IEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT-Europe) : proceedings2022 / art. 184786, 5 p. : ill https://doi.org/10.1109/ISGT-Europe54678.2022.9960447
- The relevance of fluid-body interactions for habitat selection of two Iberian cyprinids during hydropeakingCosta, Maria Joao; Godinho, Francisco; Romao, Filipe; Fuentes-Perez, Juan Francisco; Tuhtan, Jeffrey Andrew; Pinheiro, Antonio N.; Boavida, IsabelProceedings 39th IAHR World Congress2022 / p. 1454−1459 https://doi.org/10.3850/IAHR-39WC2521711920221269
- Reliability improvements for multiprocessor systems by health-aware task schedulingSchmidt, Robert; Massoud, Rehab; Raik, Jaan; Garcia-Ortiz, Alberto; Drechsler, Rolf2018 IEEE 24th International Symposium on On-Line Testing and Robust System Design (IOLTS 2018) : 2 - 4 July 2018, Spain2018 / p. 247-250 : ill http://dx.doi.org/10.1109/IOLTS.2018.8474101
- Reliability-critical computation offloading in UAV swarmsRahbari, Dadmehr; Ahmed, Foisal; Jenihhin, Maksim; Alam, Muhammad Mahtab; Le Moullec, YannickIEEE Systems Journal2024 / p. 1871-1882 https://doi.org/10.1109/JSYST.2024.3432449
- Removal and recovery of recalcitrant phosphorus species such as dissolved organo-phosphonates from domestic and industrial wastewater effluentsIvanova Drenkova-Tuhtan, Asya; Rott, Eduard; Meyer, Carsten; Minke, Ralf; Schneider, M.; Mandel, KarlIWA Nutrient Removal and Recovery Conference, 1-3 September 2020, Helsinki, Finland2020 / 4 p. : ill
- Removal of phosphonates from synthetic and industrial wastewater with reusable magnetic adsorbent particlesRott, Eduard; Nouri, Mohammad; Meyer, Carsten; Minke, Ralf; Schneider, Michael; Mandel, Karl; Ivanova Drenkova-Tuhtan, AsyaWater research2018 / p. 608-617 https://doi.org/10.1016/j.watres.2018.08.067 https://www.scopus.com/sourceid/18795 https://www.scopus.com/record/display.uri?eid=2-s2.0-85053002092&origin=inward&txGid=780e942d0144ea2a1f2b5897c1e42821 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=WATER%20RES&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000449137700057
- Replication-based deterministic testing of 2-dimensional arrays with highly interrelated cellsAzad, Siavoosh Payandeh; Oyeniran, Adeboye Stephen; Ubar, Raimund-Johannes21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems : DDECS 2018 : Budapest, Hungary 25-27 April, 2018 : proceedings2018 / p. 21-26 : ill https://doi.org/10.1109/DDECS.2018.00011
- Representing gate-level SET faults by multiple SEU faults on RT-levelBagbaba, Ahmet Cagri; Jenihhin, Maksim; Ubar, Raimund-Johannes; Sauer, Christian2020 IEEE 26th International Symposium on On-Line Testing and Robust System Design (IOLTS), 13-15 July 2020 : proceedings2020 / art. 19889351, 6 p. : ill https://doi.org/10.1109/IOLTS50870.2020.9159715
- RESCUE : cross-sectoral PhD training concept for interdependent reliability, security and qualityVierhaus, Heinrich Theodor; Jenihhin, Maksim; Sonza Reorda, Matteo2018 12th European Workshop on Microelectronics Education (EWME) : September 24–26, 20182018 / p. 45-50 : ill https://doi.org/10.1109/EWME.2018.8629465
- A rescue demonstrator for interdependent aspects of reliability, security and quality towards a complete EDA flowRaik, Jaan; Jenihhin, MaksimProceedings of the 2020 Design, Automation & Test in Europe Conference &Exhibition (DATE 2020), 9 to 13 March, 2020, Grenoble, France2020 / p. 58 https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=9116424
- RESCUE EDA Toolset for interdependent aspects of reliability, security and quality in nanoelectronic systems designGürsoy, Cemil Cem; Cardoso Medeiros, Guilherme; Chen, Juanho; Balakrishnan, Aneesh; Lai, Xinhui; Bagbaba, Ahmet Cagri; Raik, Jaan; Jenihhin, MaksimDATE 20192019 / 1 p. : ill https://doi.org/10.5281/zenodo.3362529 https://past.date-conference.com/
- RESCUE: interdependent challenges of reliability, security and quality in nanoelectronic systemsJenihhin, Maksim; Raik, Jaan2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 9-13 March 2020, Grenoble, France : proceedings2020 / art. 19690741 , 6 p https://doi.org/10.23919/DATE48585.2020.9116558
- Research overview on multi-species downstream migration measures at the fithydro test case HPP BannwilKriewitz-Byun, Carl Robert; Tuhtan, Jeffrey Andrew; Toming, Gert; Albayrak, Ismail; Kammerer, Stephan; Vetsch, David Florian; Peter, Armin; Stoltz, Ulli; Gabl, Walter; Marbacher, DanielFIThydro2018 / 5 p. : ill https://doi.org/10.3929/ethz-b-000308171
- Research: New method maps meltwater flows inside glaciersKruusmaa, Maarjanews.err.ee2023 https://news.err.ee/1608899612/research-new-method-to-map-meltwater-flows-inside-glaciers https://tc.copernicus.org/articles/16/3669/2022/
- Research: Robots assist in gaining glimpse of life underwaterTraks, Kristinanews.err.ee2023 https://news.err.ee/1608911057/research-robots-assist-in-gaining-glimpse-of-life-underwater
- Resource-aware scene text recognition using learned features, quantization, and contour-based character extractionAdemola, Olutosin Ajibola; Petlenkov, Eduard; Leier, MairoIEEE Access2023 / p. 56865 - 56874 https://doi.org/10.1109/ACCESS.2023.3283931 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85161595413&origin=resultslist&sort=plf-f&src=s&sid=daf947ff6c4db156329d2f87190e491f&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Resource-Aware+Scene+Text+Recognition+Using+Learned+Features%2C+Quantization%2C+and+Contour-Based+Character+Extraction%22%29&sl=106&sessionSearchId=daf947ff6c4db156329d2f87190e491f&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001010622600001
- Resting EEG functional connectivity and graph theoretical measures for discrimination of depressionOrgo, Laura; Bachmann, Maie; Kalev, Kaia; Järvelaid, Mari; Raik, Jaan; Hinrikus, Hiie4th IEEE EMBS International Conference on Biomedical and Health Informatics, BHI 2017 : Orlando, Florida, USA, 16-19 February 20172017 / p. 389-392 : ill https://doi.org/10.1109/BHI.2017.7897287
- Resynthesis-based attacks against logic lockingAlmeida, Felipe; Aksoy, Levent; Nguyen, Quang-Linh; Dupuis, Sophie; Flottes, Marie-Lise; Pagliarini, Samuel Nascimento2023 24th International Symposium on Quality Electronic Design (ISQED) : San Francisco, 5-7 April 20232023 / 8 p. : ill https://doi.org/10.1109/ISQED57927.2023.10129403 https://www.scopus.com/record/display.uri?eid=2-s2.0-85161555775&origin=resultslist&sort=plf-f&src=s&sid=d75ef4f2e771071f4016a3777c77ec72&sot=b&sdt=b&s https://www.webofscience.com/wos/woscc/full-record/WOS:001013619400077
- Rethinking fish-friendliness of pumps by shifting focus to both safe and timely fish passage for effective conservationEvans, Oliver J.; Norman, Josh; Carter, Liam J.; Hutchinson, T.; Don, A.; Wright, Rosalind M.; Tuhtan, Jeffrey Andrew; Toming, Gert; Bolland, Jonathan D.Scientific reports2024 / art. 17888, 12 p. : ill https://doi.org/10.1038/s41598-024-67870-5 https://www.scopus.com/sourceid/21100200805 https://www.scopus.com/record/display.uri?eid=2-s2.0-85200383410&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=ALL%28%22Rethinking+fish-friendliness+of+pumps+by+shifting+focus+to+both+safe+and+timely+fish+passage+for+effective+conservation%22%29&sessionSearchId=7558ece752c36fe5e3a0829519b72215&relpos=1 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SCI%20REP-UK&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001283438200029
- Retrofitting fractional-order dynamics to an existing feedback control system : from classical proportional-integral (PI) control to fractional-order proportional-derivative (FOPD) controlGonzalez, Emmanuel A.; Tepljakov, Aleksei; Monje, Concepcion A.; Petráš, IvoInternational research journal on innovations in engineering, science and technology2017 / 6 p https://www.researchgate.net/publication/325989325_Retrofitting_Fractional-Order_Dynamics_to_an_Existing_Feedback_Control_System_From_Classical_Proportional-Integral_PI_Control_to_Fractional-Order_Proportional-Derivative_FOPD_Control
- Reusable magnetic sorbent materials for advanced wastewater treatment and nutrient recoveryIvanova Drenkova-Tuhtan, Asya; Meyer, Carsten; Inskeep, Caleb3rd IWA Resource Recovery Conference : IWA RR2019, Venice (Italy), 08-12 September 20192019 / p. 69 https://www.iwarr2019.org/wp-content/uploads/2019/09/IWARR2019_PROGRAMME_extended-program_FINAL_06092019.pdf
- Reusing verification assertions as security checkers for Hardware Trojan detectionEslami, Mohammad; Ghasempouri, Tara; Pagliarini, Samuel Nascimento2022 23rd International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA : 06-07 April 20222022 / p. 1-6 : ill https://doi.org/10.1109/ISQED54688.2022.9806292
- Review on Braking Energy Management in Electric VehiclesVodovozov, Valery; Raud, Zoja; Petlenkov, EduardEnergies2021 / art. 4477 https://doi.org/10.3390/en14154477 https://www.scopus.com/sourceid/62932 https://www.scopus.com/record/display.uri?eid=2-s2.0-85112622741&origin=inward&txGid=c12ceb3c58ce534f1db9c0e0c9071c19 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENERGIES&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000681900100001
- Review, analysis, and implementation of path selection strategies for 2D NoCsSingh, Rajendra; Bohra, Manoj Kumar; Hemrajani, Prashant; Kalla, Anshuman; Bhatt, Devershi Pallavi; Purohit, Nitin; Daneshtalab, MasoudIEEE Access2022 / p. 129245 - 129268 https://doi.org/10.1109/ACCESS.2022.3227460 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85144743242&origin=inward&txGid=6bbefd508ac2cf8f9444086f3a32678a https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000899980300001
- Revisiting the LQR problem of singular systemsNosrati, Komeil; Belikov, Juri; Tepljakov, Aleksei; Petlenkov, EduardIEEE/CAA Journal of Automatica Sinica2024 / p. 2236-2252 : ill https://doi.org/10.1109/JAS.2024.124665 https://www.scopus.com/sourceid/21100367773 https://www.scopus.com/record/display.uri?eid=2-s2.0-85206893668&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=AUTH%28Komeil+Nosrati%29&sessionSearchId=7558ece752c36fe5e3a0829519b72215&relpos=2 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE-CAA%20J%20AUTOMATIC&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001338408400013
- Riik kui leiutisKruusmaa, MaarjaPostimees2018 / AK, lk. 6 https://heureka.postimees.ee/4481746/maarja-kruusmaa-riik-kui-leiutis
- Riiklik lõpueksam - olla võimitte olla?Kukk, VelloÕpetajate Leht2019 / lk. 4-5
- Rivers 2.0 - transforming rivers into digital landscapes using unmanned aerial vehiclesHaas, Christian; Thumser, Philipp; Tuhtan, Jeffrey AndrewHydrolink2019 / p. 13–15 : ill https://fithydro.eu/wp-content/uploads/2019/03/HaasC._ThumserP._Tuhtan.J.A._2019_Rivers-2.0-Transforming_-Rivers_-into_-Digital_-Landscapes_Hydrolink.pdf
- Robootik, keda inspireerib loodusKruusmaa, MaarjaHorisont2017 / lk. 26-31 : fot http://www.ester.ee/record=b1072243*est https://artiklid.elnet.ee/record=b2811777*est
- Robootikaprofessor Maarja Kruusmaa teaduse rahastamisest : pole mõtet vahelejäänut peksta, kui süsteem lonkabBerendson, Risto; Kruusmaa, MaarjaÕhtuleht2019 / lk. 2-3 https://www.ohtuleht.ee/975421/robootikaprofessor-maarja-kruusmaa-teaduse-rahastamisest-pole-motet-vahelejaanut-peksta-kui-susteem-lonkab
- Robotic feet modeled after ungulates improve locomotion on soft wet groundsGodon, Simon; Ristolainen, Asko; Kruusmaa, MaarjaBioinspiration and biomimetics2024 / art. 066009, 12 p. : ill https://doi.org/10.1088/1748-3190/ad839c https://www.scopus.com/sourceid/4700152290 https://www.scopus.com/record/display.uri?eid=2-s2.0-85208288433&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Robotic+feet+modeled+after+ungulates+improve+locomotion+on+soft+wet+grounds%22%29&sessionSearchId=7558ece752c36fe5e3a0829519b72215&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=BIOINSPIR%20BIOMIM&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001347961400001
- Robotid saadetakse tööle kohta, kuhu vähesed meist minna tahavaddigi.geenius.ee2024 https://digi.geenius.ee/blogi/teadus-ja-tulevik/robotid-saadetakse-toole-kohta-kuhu-vahesed-meist-minna-tahavad/
- Robotite kaasamine võib töökohti ka juurde luuaAlver, Anne-Mari; Kruusmaa, MaarjaEesti Päevaleht2018 / Tööstus, lk. 28
- Robust D-stability analysis of fractional-order controllersGhorbani, Majid; Tepljakov, Aleksei; Petlenkov, Eduard2023 American Control Conference, ACC 2023, San Diego, 31 May- 2 June 2023 : proceedings2023 / p. 3871-3876 : ill https://doi.org/10.23919/ACC55779.2023.10156257 https://www.scopus.com/sourceid/18692 https://www.scopus.com/record/display.uri?eid=2-s2.0-85167794243&origin=inward&txGid=5274a9e928a78468f5dd2a9c313ab36e https://www.webofscience.com/wos/woscc/full-record/WOS:001027160303071
- Robust FOPID stabilization for Smith Predictor structuresGhorbani, Majid; Tepljakov, Aleksei; Petlenkov, Eduard61st IEEE Conference on Decision and Control, CDC 2022, Cancun, 6 December - 9 December 2022, proceedings2022 / p. 1696-1701 https://doi.org/10.1109/CDC51059.2022.9993283 https://www.scopus.com/sourceid/87424 https://www.scopus.com/record/display.uri?eid=2-s2.0-85146989430&origin=inward&txGid=26cceb263e5f5c1f2ab8b897583aeba4 https://www.webofscience.com/wos/woscc/full-record/WOS:000948128101070
- Robust fractional order singular Kalman filterNosrati, Komeil; Belikov, Juri; Tepljakov, Aleksei; Petlenkov, EduardInternational journal of robust and nonlinear control2024 / p. 602-627 : ill https://doi.org/10.1002/rnc.6990 https://www.scopus.com/sourceid/17987 https://www.scopus.com/record/display.uri?eid=2-s2.0-85171445953&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Robust+fractional+order+singular+Kalman+filter%22%29&sessionSearchId=7558ece752c36fe5e3a0829519b72215&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=INT%20J%20ROBUST%20NONLIN&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001066680900001
- Robust PID controller design for continuous-time systems via reduced routh parameters = Pidevaja süsteemide robustse PID kontrolleri süntees taandatud Routh parametrite kauduArtemtšuk, Igor2019 https://digi.lib.ttu.ee/i/?13259
- Robust stabilization criteria of a general form of fractional-order controllers for interval fractional-order plants with complex uncertain parametersGhorbani, MajidISA transactions2022 / p. 140-151 : ill https://doi.org/10.1016/j.isatra.2022.02.014 https://www.scopus.com/sourceid/29805 https://www.scopus.com/record/display.uri?eid=2-s2.0-85125617903&origin=inward&txGid=7f2f13fe3b722a290cc787b3a6496579 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ISA%20T&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000876761500012
- Robust stability analysis of interval fractional-order plants with interval time delay and general form of fractional-order controllersGhorbani, Majid; Tavakoli-Kakhki, Mahsan; Tepljakov, Aleksei; Petlenkov, Eduard; Farnam, Arash; Crevecoeur, GuillaumeIEEE Control Systems Letters2022 / p. 1268-1273 https://doi.org/10.1109/LCSYS.2021.3091525 https://www.scopus.com/sourceid/21100885366 https://www.scopus.com/record/display.uri?eid=2-s2.0-85112725196&origin=inward&txGid=5320d4317cd3c33752f8246390608e67 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20CONTR%20SYST%20LETT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000670543700039
- Robust stability analysis of Smith predictor based interval fractional-order control systems: a case study in level control processGhorbani, Majid; Tavakoli-Kakhki, Mahsan; Tepljakov, Aleksei; Petlenkov, EduardIEEE/CAA Journal of Automatica Sinica2023 / p. 762-780 https://doi.org/10.1109/JAS.2022.105986 https://www.scopus.com/sourceid/21100367773 https://www.scopus.com/record/display.uri?eid=2-s2.0-85141599492&origin=inward&txGid=cfb2403658e32a11eed8f5e3d4dc5e14 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE-CAA%20J%20AUTOMATIC&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000971627600015
- Robust stability region of PI controllers for an interval time delayed load frequency controlGhorbani, Majid; Nosrati, Komeil; Tepljakov, Aleksei; Belikov, Juri; Petlenkov, EduardIEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT Europe 2024) : proceedings2024 / 5 p https://doi.org/10.1109/ISGTEUROPE62998.2024.10863205
- Robust stability testing function for a complex interval family of fractional-order polynomialsGhorbani, Majid; Rezaei, Hossein; Tepljakov, Aleksei; Petlenkov, EduardJournal of the Franklin Institute2022 / p. 10038 - 10057 https://doi.org/10.1016/j.jfranklin.2022.09.042 https://www.scopus.com/sourceid/27959 https://www.scopus.com/record/display.uri?eid=2-s2.0-85140300502&origin=inward&txGid=4d56846ddc1b07da7a63a11d6141c974 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20FRANKLIN%20I&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000929767300026
- RoCo-NAS : robust and compact neural architecture searchGeraeinejad, Vahid; Sinaei, Sima; Modarressi, Mehdi; Daneshtalab, Masoud2021 International Joint Conference on Neural Networks (IJCNN) : proceedings2021 / 8 l https://doi.org/10.1109/IJCNN52387.2021.9534460 https://www.scopus.com/sourceid/96537 https://www.scopus.com/record/display.uri?eid=2-s2.0-85116466137&origin=inward&txGid=06cece687b3f28db1a4a5c7b57009c76 https://www.webofscience.com/wos/woscc/full-record/WOS:000722581709029
- RTL assertion mining with automated RTL-to-TLM abstractionGhasempouri, Tara; Danese, Alessandro; Pravadelli, Graziano; Bombieri, Nicola; Raik, JaanProceedings of the 2019 Forum on specification & Design Languages (FDL)2019 / 8 p. : ill https://doi.org/10.1109/FDL.2019.8876941
- Run-time reconfigurable instruments for advanced board-level testingAleksejev, Igor; Jutman, Artur; Devadze, SergeiIEEE instrumentation & measurement magazine2017 / p. 23-30 : ill https://doi.org/10.1109/MIM.2017.8006390
- Ränioruga sideme katkestamine ohustab meie IT-sektoritRaik, Jaanpostimees.ee2023 / Lk. 13 https://arvamus.postimees.ee/7872666/jaan-raik-ranioruga-sideme-katkestamine-ohustab-meie-it-sektorit
- Salmon behavioural response to robots in an aquaculture sea cageKruusmaa, Maarja; Gkliva, Roza; Tuhtan, Jeffrey Andrew; Tuvikene, A.; Alfredsen, J.A.Royal Society open science2020 / art. 191220, 14 p. : ill https://doi.org/10.1098/rsos.191220 https://www.scopus.com/sourceid/21100446014 https://www.scopus.com/record/display.uri?eid=2-s2.0-85083201082&origin=inward&txGid=af5fc6b985c4035733483a0fb17c58b1 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ROY%20SOC%20OPEN%20SCI&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000519606000010
- SALSy : security-aware layout synthesisEslami, Mohammad; Perez, Tiago Diadami; Pagliarini, Samuel NascimentoarXiv.org2024 / 13 p. : ill https://doi.org/10.48550/arXiv.2308.06201
- SCAAT: Secure cache alternative address table for mitigating cache logical side-channel attacksShalabi, Ameer; Ghasempouri, Tara; Ellervee, Peeter; Raik, Jaan2020 23rd Euromicro Conference on Digital System Design (DSD), 26-28 August 2020, Kranj, Slovenia2020 / art, 20035366, p. 213−217 https://doi.org/10.1109/DSD51259.2020.00043
- Scalable parallel genetic algorithm for solving large integer linear programming models derived from behavioral synthesisFallah, Mohammad K.; Mirhosseini, M.; Fazlali, Mahmood; Daneshtalab, Masoud2020 28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing : PDP 2020, Västerås, Sweden, 11-13 March 20202020 / p. 390-394 https://doi.org/10.1109/PDP50117.2020.00066
- A scalable technique to identify true critical paths in sequential circuitsUbar, Raimund-Johannes; Kostin, Sergei; Jenihhin, Maksim; Raik, JaanProceedings 2017 IEEE 20th International Symposium on Design and Diagnotics of Electronic Circuit & Systems(DDECS) : April 19-21, 2017, Dresden, Germany2017 / p. 152-157 : ill https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=7934553
- SCARF : securing chips with a robust framework against fabrication-time hardware trojansEslami, Mohammad; Ghasempouri, Tara; Pagliarini, Samuel NascimentoIEEE Transactions on Computers2024 / p. 2761-2775 https://doi.org/10.1109/TC.2024.3449082 https://www.scopus.com/sourceid/25033 https://www.scopus.com/record/display.uri?eid=2-s2.0-85201752061&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22SCARF%3A+Securing+Chips+With+a+Robust+Framework+Against+Fabrication-Time+Hardware+Trojans%22%29&sessionSearchId=7f45e0ec132af76c064c755f1de85733&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20COMPUT&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001351576000009
- SCARF : securing chips with a robust framework against fabrication-time hardware Trojans : preprintEslami, Mohammad; Ghasempouri, Tara; Pagliarini, Samuel NascimentoarXiv.org2024 / 14 p. : ill https://doi.org/10.48550/arXiv.2402.12162
- Scene parsing using Fully Convolutional Network for Semantic SegmentationAli, Nisar; Ijaz, Ali Zeeshan; Ali, Raja Hashim; Abideen, Zain Ul; Bais, Abdul2023 IEEE Canadian Conference on Electrical and Computer Engineering (CCECE), Regina, SK, Canada, 20232023 / p. 180-185 https://doi.org/10.1109/CCECE58730.2023.10288934
- Schedulability analysis of best-effort traffic in TSN networksHoutan, Bahar; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Afshar, Sara; Mubeen, Saad26th IEEE International Conference on Emerging Technologies and Factory Automation (ETFA)2021 / 8 l https://doi.org/10.1109/ETFA45728.2021.9613511
- Scientific language connects - an interview with Maarja KruusmaaKruusmaa, MaarjaEesti Teaduste Akadeemia in words and images 20182019 / p. 48-53 : phot https://www.ester.ee/record=b5178522*est
- Seasonal and daily upstream movements of brown trout Salmo trutta in an Iberian regulated riverGarcia-Vega, Ana; Sanz-Ronda, Francisco Javier; Fuentes-Pérez, Juan FranciscoKnowledge and management of aquatic ecosystems2017 / art. 9, p. 1-9 : ill https://doi.org/10.1051/kmae/2016041 https://www.scopus.com/sourceid/15900154751 https://www.scopus.com/record/display.uri?eid=2-s2.0-85011281205&origin=inward&txGid=be10553a5b9cb0656983bff5758204f4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=KNOWL%20MANAG%20AQUAT%20EC&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000399051100009
- A security verification template to assess cache architecture vulnerabilitiesGhasempouri, Tara; Raik, Jaan; Paul, Kolin; Reinbrecht, Cezar; Hamdioui, Said; Taouil, M.2020 23rd International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), April 22nd – 24th 2020 Novi Sad, Serbia : Proceedings2020 / art. 9095707, 6 p https://doi.org/10.1109/DDECS50862.2020.9095707
- A security-aware and LUT-based CAD flow for the physical synthesis of hASICsAbideen, Zain Ul; Perez, Tiago Diadami; Martins, Mayler; Pagliarini, Samuel NascimentoIEEE transactions on computer-aided design of integrated circuits and systems2023 / p. 3157-3170 : ill https://doi.org/10.1109/TCAD.2023.3244879 https://www.scopus.com/sourceid/27724 https://www.scopus.com/record/display.uri?eid=2-s2.0-85149361558&origin=inward&txGid=dcdfac19b8c2e28e5d718affcdda7cc2 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20COMPUT%20AID%20D&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001071466500003
- Security-aware physical synthesis of integrated circuits = Integraallülituste turvateadlik füüsiline sünteesPerez, Tiago Diadami2023 https://doi.org/10.23658/taltech.4/2023 https://digikogu.taltech.ee/et/Item/440f41fd-0950-4b5c-8e47-4f75a754cdae https://www.ester.ee/record=b5536743*est
- Self assessment tool to bridge the gap between XR Technology, SMEs, and HEIsKöse, Ahmet; Tepljakov, Aleksei; Alsaleh, Saleh Ragheb Saleh; Petlenkov, EduardExtended Reality : First International Conference, XR Salento 2022, Lecce, Italy, July 6-8, 2022, Proceedings, Part I2022 / p. 296–311 : ill https://doi.org/10.1007/978-3-031-15546-8_25 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85137992106&origin=inward&txGid=e6141c12652e992de92db12610b84ae7 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000886159100025
- Self-aware cyber-physical systemsBellman, K.; Landauer, C.; Dutt, N.; Tammemäe, KalleACM transactions on cyber-physical systems2020 / p. 1−26 https://doi.org/10.1145/3375716 https://www.scopus.com/sourceid/21100935201 https://www.scopus.com/record/display.uri?eid=2-s2.0-85089940520&origin=inward&txGid=5e937d404519e48d77f4d2e9efd9235c https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ACM%20TRANS%20CYBER-PHYS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000582620100002
- Self-aware fog computing in private and secure spheresTammemäe, Kalle; Jantsch, Axel; Kuusik, Alar; Preden, Jürgo-Sören; Õunapuu, EnnFog computing in the internet of things : intelligence at the edge2018 / p. 71-99 : ill http://www.ester.ee/record=b4692402*est https://doi.org/10.1007/978-3-319-57639-8_5
- Self-driving car ISEAUTO for research and educationSell, Raivo; Leier, Mairo; Rassõlkin, Anton; Ernits, Juhan-PeepProceedings of the 2018 19th International Conference on Research and Education in Mechatronics : (REM 2018), Delft, The Netherlands, June 7-8, 20182018 / p. 111-116 : ill https://doi.org/10.1109/REM.2018.8421793
- Sensing drifters for glacial hydrology measurementsAlexander, Andreas; Kruusmaa, Maarja; Tuhtan, Jeffrey Andrew; Hodson, Andreas J.Svalbard Science Conference 2019 : book of abstracts2019 / p. 50 https://www.forskningsradet.no/contentassets/f464e19d364c40b59170a1956a98e747/book-of-abstracts-ssc2019.pdf
- Service margins for wide-band optical spectrum services implemented in long-haul Raman-enabled networksKaeval, Kaida; Patri, Sai Kireet; Pedreno-Manresa, Jose-Juan; Grobe, Klaus; Elbers, Jörg-Peter; Griesser, Helmut; Tikas, Marko; Jervan, GertOptical Fiber Communications Conference and Exhibition, OFC 2023 : Proceedings2023 / art. W3H.6 https://doi.org/10.1364/OFC.2023.W3H.6
- Shape classification using hydrodynamic detection via a sparse large-scale 2D-sensitive artificial lateral lineWolf, Ben J.; Pirih, Primoz; Kruusmaa, Maarja; Van Netten, Sietse M.IEEE Access2020 / p. 11393 - 11404 https://doi.org/10.1109/ACCESS.2020.2965316 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85078797703&origin=inward&txGid=68ebb0c4a4d7c1172764eeee8f46100e https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000525406600058
- Ship wake analysis using an array of nearbed sensorsKruusmaa, Maarja; Parnell, Kevin Ellis; Ristolainen, Asko; Rätsep, Margus; Soomere, TarmoAbstracts : [BSSC 2019]2019 / p. 292 https://www.su.se/polopoly_fs/1.446756.1566224624!/menu/standard/file/abstracts_A5_ny.pdf
- Side-channel attacks on triple modular redundancy schemesAlmeida, Felipe; Aksoy, Levent; Raik, Jaan; Pagliarini, Samuel Nascimento2021 IEEE 30th Asian Test Symposium ATS 2021 : proceedings2021 / p. 79-84 : ill https://doi.org/10.1109/ATS52891.2021.00026 https://www.scopus.com/sourceid/14494 https://www.scopus.com/record/display.uri?eid=2-s2.0-85124706879&origin=inward&txGid=d523894835aa1e27e51a5c4020036746 https://www.webofscience.com/wos/woscc/full-record/WOS:000781836500014
- A side-channel hardware trojan in 65nm CMOS with 2μW precision and multi-bit leakage capabilityPerez, Tiago Diadami; Pagliarini, Samuel Nascimento2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC) : 17-20 January 2022 : Taipei, Taiwan2022 / p. 9-10 : ill https://doi.org/10.1109/ASP-DAC52403.2022.9712490
- Side-channel Trojan insertion - a practical foundry-side attack via ECOPerez, Tiago Diadami; Imran, Malik; Vaz, Pablo; Pagliarini, Samuel Nascimento2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea, May 22-28, 2021 : proceedings2021 / 5 p. : ill https://doi.org/10.1109/ISCAS51556.2021.9401481 https://www.scopus.com/sourceid/56190 https://www.scopus.com/record/display.uri?eid=2-s2.0-85109036293&origin=inward&txGid=ab42f2e6641a52d32027b1dc4f2126b6 https://www.webofscience.com/wos/woscc/full-record/WOS:000706507900007
- Similarity and dissimilarity measures for mixed feature-type symbolic dataIchino, Manabu; Umbleja, KadriStudies in Theoretical and Applied Statistics2018 / p. 131-144 https://doi.org/10.1007/978-3-319-73906-9 https://www.scopus.com/sourceid/21100255398 https://www.scopus.com/record/display.uri?eid=2-s2.0-85045324098&origin=inward&txGid=6d3a7f411084f2f1dc4117d96c15390a
- Similarity of length scales in high-Reynolds-number wall-bounded flowsGustenyov, Nikolay; Egerer, Margit; Hultmark, Marcus; Smits, Alexander J.; Bailey, Sean C.C.Journal of Fluid Mechanics2023 / art. A17 https://doi.org/10.1017/jfm.2023.417 https://www.scopus.com/sourceid/18537 https://www.scopus.com/record/display.uri?eid=2-s2.0-85164257009&origin=resultslist&sort=plf-f&src=s&sid=2fb5592ba963e20389e9c67aa7bca3f0&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Similarity+of+length+scales+in+high-Reynolds-number+wall-bounded+flows%22%29&sl=87&sessionSearchId=2fb5592ba963e20389e9c67aa7bca3f0&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20FLUID%20MECH&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001011925000001
- Simulation of RF noise propagation to relativistic electron beam properties in a linear acceleratorMaalberg, Andrei; Kuntzsch, Michael; Petlenkov, EduardIFAC-PapersOnLine2020 / p. 348-354 https://doi.org/10.1016/j.ifacol.2020.12.184 https://www.scopus.com/sourceid/21100456158 https://www.scopus.com/record/display.uri?eid=2-s2.0-85105035485&origin=inward&txGid=10ba274ba61c1c38a3c7fe8f095d8f10 https://www.webofscience.com/wos/woscc/full-record/WOS:000652592500058
- Simulation study of electric vehicles at fuzzy PID control of braking torqueVodovozov, Valery; Petlenkov, Eduard; Aksjonov, Andrei; Raud, ZojaInformatics in Control, Automation and Robotics : 17th International Conference, ICINCO 2020 Lieusaint - Paris, France, July 7–9, 2020, Revised Selected Papers2022 / p. 261–290 https://doi.org/10.1007/978-3-030-92442-3_15 https://www.scopus.com/sourceid/19700186822 https://www.scopus.com/record/display.uri?eid=2-s2.0-85122544773&origin=inward&txGid=5b5e409e69c4209fbe5fd91f97c318ea
- Simulation-based equivalence checking between IEEE 1687 ICL and RTLDamljanovic, Aleksa; Jutman, Artur; Portolan, Michele; Tšertov, Anton2019 IEEE International Test Conference (ITC)2019 / paper. 7.3, 8 p. : ill https://doi.org/10.1109/ITC44170.2019.9000181
- Simultaneous flow measurement and deformation tracking for passive flow control experiments involving fluid–structure interactionsKösters, Wolf Iring; Hoerner, StefanJournal of Fluids and Structures2023 / art. 103956 https://doi.org/10.1016/j.jfluidstructs.2023.103956 https://www.scopus.com/sourceid/12490 https://www.scopus.com/record/display.uri?eid=2-s2.0-85167410055&origin=resultslist&sort=plf-f&src=s&sid=6419d2e0b59b41bb62b9f07518b5fda8&sot=b&sdt=b&s=TITLE%28%22Simultaneous+flow+measurement+and+deformation+tracking+for+passive+flow+control+experiments+involving+fluid%E2%80%93structure+interactions%22%29&sl=139&sessionSearchId=6419d2e0b59b41bb62b9f07518b5fda8&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20FLUID%20STRUCT&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001066253600001
- Smart fish counter for monitoring species, size, migration behaviour and environmental conditionsTuhtan, Jeffrey Andrew; Dubrovinskaya, Elizaveta; Miasayedava, Lizaveta; Pattanaik, Vishwajeet; Soom, Jürgen; Mockenhaupt, Bernd; Schütz, Cornelia; Haas, Christian; Thumser, Philipp14th International Symposium on Ecohydraulics (ISE 2022) : October 10-14, 2022 : Nanjing, China2022 / p. 1-4 : ill https://iahr.oss-accelerate.aliyuncs.com/upload/file/20221009/20221009192625_67371.docx
- Smart-contract value-transfer protocols on a distributed mobile application platform [Online resource]Dai, Patrick; Mahi, Neil; Earls, Jordan; Norta, Alexander2017 https://qtum.org/user/pages/03.tech/01.white-papers/Qtum%20Whitepaper.pdf
- Smartgrid-based hybrid digital twins framework for demand side recommendation service provision in distributed power systemsOnile, Abiodun E.; Petlenkov, Eduard; Levron, Yoash; Belikov, JuriFuture generation computer systems2024 / p. 142-156 : ill https://doi.org/10.1016/j.future.2024.03.018 https://www.scopus.com/sourceid/12264 https://www.scopus.com/record/display.uri?eid=2-s2.0-85187225440&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Smartgrid-based+hybrid+digital+twins+framework+for+demand+side+recommendation+service+provision+in+distributed+power+systems%22%29&sessionSearchId=f53bb18a788748acab088b0e35200e2d&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=FUTURE%20GENER%20COMP%20SY&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001223282700001
- Soft fluidic actuator for locomotion in multi-phase environmentsGkliva, Roza; Kruusmaa, MaarjaIEEE robotics and automation letters2022 / p. 10462-10469 https://doi.org/10.1109/LRA.2022.3192204 https://www.scopus.com/sourceid/21100900379 https://www.scopus.com/record/display.uri?eid=2-s2.0-85135223460&origin=inward&txGid=6c5764555761553ddaefa5b4fa0c72c9 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ROBOT%20AUTOM%20LET&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000835813000081
- Software implementation of FOPID controllers with tuning capability for fractional FOPDT plantsTepljakov, Aleksei; Alagoz, Baris Baykant44th International Conference on Telecommunications and Signal Processing (TSP)2021 / p. 199-203 https://doi.org/10.1109/TSP52935.2021.9522602
- Software parser and analyser for hardware performance estimationsRuberg, Priit; Meinberg, Erki; Ellervee, Peeter2022 International Conference on Electrical, Computer and Energy Technologies (ICECET), Prague, Czech Republic, 20-22 July 20222022 / p. 1-6 https://doi.org/10.1109/ICECET55527.2022.9872951
- Software-based mitigation for memory address decoder agingKraak, D. H. P.; Gürsoy, Cemil Cem; Jenihhin, Maksim; Raik, JaanLATS 2019 : 20th IEEE Latin American Test Symposium : Santiago, Chile, March 11th - 13th 20192019 / 6 p. : ill https://doi.org/10.1109/LATW.2019.8704595
- Software-based self-test for microprocessors with high-level decision diagrams = Mikroprotsessorite tarkvara-põhine enesetestimine kõrgtasandi otsustusdiagrammide põhjalJasnetski, Artjom2018 https://digi.lib.ttu.ee/i/?10629 https://www.ester.ee/record=b5151486*est
- Software-based self-test with decision diagrams for microprocessorsUbar, Raimund-Johannes; Jasnetski, Artjom; Tšertov, Anton; Oyeniran, Adeboye Stephen2018
- Software-level TMR approach for on-board data processing in space applicationsJanson, Karl; Treudler, Carl Johann; Hollstein, Thomas; Raik, Jaan; Jenihhin, Maksim; Fey, Goerschwin21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems : DDECS 2018 : Budapest, Hungary 25-27 April, 2018 : proceedings2018 / p. 147-152 : ill https://doi.org/10.1109/DDECS.2018.00033
- A sparse minimal-order dynamic model of power networks based on DQ0 signalsBelikov, Juri; Levron, YoashIEEE transactions on power systems2018 / p. 1059-1067 : ill https://doi.org/10.1109/TPWRS.2017.2702746 https://www.scopus.com/sourceid/28825 https://www.scopus.com/record/display.uri?eid=2-s2.0-85050236576&origin=inward&txGid=8d2e158c05038d1b58024605637f37ae https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20POWER%20SYST&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000418776400094
- Spatial preferences of Iberian barbel in a vertical slot fishway under variable hydrodynamic scenariosFuentes-Pérez, Juan Francisco; Eckert, Mario; Tuhtan, Jeffrey Andrew; Ferreira, Maria Teresa; Kruusmaa, Maarja; Branco, PauloEcological engineering2018 / p. 131-142 : ill https://doi.org/10.1016/j.ecoleng.2018.10.014 https://www.scopus.com/sourceid/20291 https://www.scopus.com/record/display.uri?eid=2-s2.0-85055526513&origin=inward&txGid=c5381448362d88832e5ff4579bb64507 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ECOL%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000449554400013
- Special issue on recent advances in the design and applications of fractional-order circuits and systems : [brief introduction]Psychalinos, Costas; Elwakil, Ahmed S.; Allagui, Anis; Tepljakov, AlekseiInternational journal of electronics and communications2017 / p. 132-135 https://doi.org/10.1016/j.aeue.2017.07.016 https://www.scopus.com/sourceid/17683 https://www.scopus.com/record/display.uri?eid=2-s2.0-85028989734&origin=inward&txGid=45c19f6a6da19511bcd0b75b71975518 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=AEU-INT%20J%20ELECTRON%20C&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000412265900016
- Special session : approximation and fault resiliency of DNN acceleratorsAhmadilivani, Mohammad Hasan; Barbareschi, Mario; Barone, Salvatore; Bosio, Alberto; Daneshtalab, Masoud; Torca, Salvatore Della; Gavarini, Gabriele; Jenihhin, Maksim; Raik, Jaan; Taheri, MahdiProceedings 2023 IEEE 41st VLSI Test Symposium (VTS)2023 / 10 p. : ill https://doi.org/10.1109/VTS56346.2023.10140043 https://www.scopus.com/sourceid/14939 https://www.scopus.com/record/display.uri?eid=2-s2.0-85161889760&origin=inward&txGid=7246f38058a8b9b32768d1928b8eec68 https://www.webofscience.com/wos/woscc/full-record/WOS:001011806600022
- Special session : AutoSoC - a suite of open-source automotive SoC benchmarksSilva, Felipe Augusto da; Bagbaba, Ahmet Cagri; Ruospo, Annachiara; Jenihhin, Maksim2020 IEEE 38th VLSI TEST SYMPOSIUM (VTS) - VTS 2020 : proceedings2020 / 9 p. : ill https://doi.org/10.1109/VTS48691.2020.9107599 https://www.scopus.com/sourceid/14939 https://www.scopus.com/record/display.uri?eid=2-s2.0-85086505407&origin=inward&txGid=bed9952d8e62b54a39971e249e5e1ba3 https://www.webofscience.com/wos/woscc/full-record/WOS:000590395200020
- Special session: in-field ML-assisted intermittent fault localization and management in RISC-V SoCsSelg, Hardi; Shibin, Konstantin; Tsertov, Anton; Jenihhin, Maksim; Ellervee, Peeter; Raik, Jaan2024 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)2024 https://doi.org/10.1109/DFT63277.2024.10753541 https://www.scopus.com/record/display.uri?eid=2-s2.0-85212426533&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1109%2FDFT63277.2024.10753541%29&sessionSearchId=f890857a41c36254c0f644edbb3c2ac3&relpos=0
- Special session: reliability assessment recipes for DNN acceleratorsAhmadilivani, Mohammad Hasan; Bosio, Alberto; Deveautour, Bastien; Dos Santos, Fernando Fernandes; Guerrero-Balaguera, Juan-David; Jenihhin, Maksim; Kritikakou, Angeliki; Sierra, Robert Limas; Raik, Jaan; Taheri, Mahdi42nd IEEE VLSI Test Symposium, VTS 20242024 / 11 p. : ill https://doi.org/10.1109/VTS60656.2024.10538707 https://www.scopus.com/sourceid/14939 https://www.scopus.com/record/display.uri?eid=2-s2.0-85195242212&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Special+Session%3A+Reliability+Assessment+Recipes+for+DNN+Accelerators%22%29&sessionSearchId=f53bb18a788748acab088b0e35200e2d&relpos=0 https://www.webofscience.com/wos/woscc/full-record/WOS:001239933000019
- Split-chip design to prevent IP reverse engineeringPagliarini, Samuel Nascimento; Sweeney, Joseph; Mai, Ken; Blanton, Shawn; Mitra, Subhasish; Pileggi, LarryIEEE Design and Test2020 / p. 109-118 https://doi.org/10.1109/MDAT.2020.3033255 https://www.scopus.com/sourceid/21100286806 https://www.scopus.com/record/display.uri?eid=2-s2.0-85095985466&origin=inward&txGid=5cd9b065fa2590c9dd1c7c529d74978c https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20DES%20TEST&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000678331400021
- Spontaneous webcam instance for user attention trackingRobal, TarmoPICMET19 : Portland International Conference on management of engineering and technology : Technology and Management in the World of Intelligent Systems : proceedings2019 / 8 p. : ill https://doi.org/10.23919/PICMET.2019.8893798
- Stabilization and stability robustness of coupled non-constant parameter time fractional PDEsChen, Juan; Tepljakov, Aleksei; Petlenkov, EduardIEEE Access2019 / p. 163969 - 163980 : ill https://doi.org/10.1109/ACCESS.2019.2951058 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85075798718&origin=inward&txGid=142a9f463633c084bb1756907d4fe598 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000498706800001
- Stabilization and uncertainty analysis of a time-fractional reaction diffusion equation cascaded with a time-fractional hyperbolic partial differential equationChen, Juan; Zhuang, Bo; Tepljakov, Aleksei; Petlenkov, EduardThe Asian Journal of Control2022 / p. 2294-2310 https://doi.org/10.1002/asjc.2637 https://www.scopus.com/sourceid/12221 https://www.scopus.com/record/display.uri?eid=2-s2.0-85112373565&origin=inward&txGid=fc87402f991c0179a6f4e04218ad2f26 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ASIAN%20J%20CONTROL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000684518000001
- Stabilizing region of fractional-order proportional integral derivative controllers for interval delayed fractional-order plantsGhorbani, Majid; Tepljakov, Aleksei; Petlenkov, EduardAsian Journal of Control2023 / p. 1145 - 1155 https://doi.org/10.1002/asjc.2894 https://www.scopus.com/sourceid/12221 https://www.scopus.com/record/display.uri?eid=2-s2.0-85132696901&origin=resultslist&sort=plf-f&src=s&sid=4f76b275e699c832e33af388ab1b1d24&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Stabilizing+region+of+fractional-order+proportional+integral+derivative+controllers+for+interval+delayed+fractional-order+plants%22%29&sl=145&sessionSearchId=4f76b275e699c832e33af388ab1b1d24&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ASIAN%20J%20CONTROL&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000815744400001
- Stabilizing region of fractional-order proportional integral derivative controllers for interval fractional-order plantsGhorbani, Majid; Tepljakov, Aleksei; Petlenkov, EduardTransactions of the Institute of Measurement and Control2023 / p. 546-556 : ill https://doi.org/10.1177/01423312221117866 https://www.scopus.com/sourceid/15449 https://www.scopus.com/record/display.uri?eid=2-s2.0-85138308577&origin=inward&txGid=d6c6d37335acca3b13d0b023fd86f7a5 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=T%20I%20MEAS%20CONTROL&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000854530400001
- Stability analysis and energy harvesting in lumped parameter systems with internally coupled resonatorsAlimohammadi, Hossein; Vassiljeva, Kristina; HosseinNia, S. Hassan; Petlenkov, EduardJVC/Journal of Vibration and Control2024 / 13 p. : ill https://doi.org/10.1177/10775463241241161 https://www.scopus.com/sourceid/13034 https://www.scopus.com/record/display.uri?eid=2-s2.0-85188475200&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=ALL%28%22Stability+analysis+and+energy+harvesting+in+lumped+parameter+systems+with+internally+coupled+resonators%22%29&sessionSearchId=fd90d0e9983d9a88c6a21e7c6aafecbb&relpos=1 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20VIB%20CONTROL&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001189395200001
- Stability and stabilizability of linear time-delay systems on homogeneous time scalesBelikov, Juri; Bartosiewicz, ZbigniewProceedings of the Estonian Academy of Sciences2017 / p. 124-136 https://doi.org/10.3176/proc.2017.2.02 http://www.ester.ee/record=b2355998*est https://artiklid.elnet.ee/record=b2820925*est https://www.scopus.com/sourceid/11500153303 https://www.scopus.com/record/display.uri?eid=2-s2.0-85019742055&origin=inward&txGid=54acecdb93ee766cb30cbd49d7c1c61c https://jcr.clarivate.com/jcr-jp/journal-profile?journal=P%20EST%20ACAD%20SCI&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000403737900002
- Standards-based tools and services for building lifelong learning pathwaysSgouropoulou, C.; Voyiatzis, I.; Koutoumanos, A.; Ellervee, PeeterProceedings of 2017 IEEE Global Engineering Education Conference (EDUCON) : 25-28 April 2017, Athens, Greece2017 / p. 1619-1621 https://doi.org/10.1109/EDUCON.2017.7943065 https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=7943065
- State and output feedback boundary control of time fractional pde–fractional ode cascades with space-dependent diffusivityChen, Juan; Tepljakov, Aleksei; Petlenkov, Eduard; Chen, Yangquan; Zhuang, BoIET Control Theory and Applications2020 / p. 3589 - 3600 https://doi.org/10.1049/iet-cta.2019.1015 https://www.scopus.com/sourceid/5100155079 https://www.scopus.com/record/display.uri?eid=2-s2.0-85103301316&origin=inward&txGid=e9b42d449dfa61340b877583520fe5b2 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IET%20CONTROL%20THEORY%20A&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000631632600021
- State estimation and control for small low-cost autonomous underwater vehicles = Meetodid olekute hindamiseks ja juhtimiseks soodsa hinnaga autonoomsetele allveerobotiteleMeurer, Christian2021 https://www.ester.ee/record=b5435482*est https://digikogu.taltech.ee/et/Item/717111f2-51e3-4176-b0b8-b369064b26a2 https://doi.org/10.23658/taltech.27/2021
- Stationary vs. non-stationary mobile learning in MOOCsZhao, Yue; Robal, Tarmo; Lofi, Christoph; Hauff, ClaudiaUMAP '18 : Adjunct Publication of the 26th Conference on User Modeling, Adaptation and Personalization, Singapore, July 08-11, 2018 : proceedings2018 / p. 299-303 https://doi.org/10.1145/3213586.3225241
- Steroidid äri jaoks: energiainformaatika tahab viia Eesti majanduse uuele tõusulepostimees.ee2023 https://teadus.postimees.ee/7734002/steroidid-ari-jaoks-energiainformaatika-tahab-viia-eesti-majanduse-uuele-tousule
- Strengthening active learning with a reinforcement approach in engineering educationVodovozov, Valery; Raud, Zoja; Petlenkov, Eduard2024 IEEE 65th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)2024 / 5 p
- Structural decision diagrams in digital test : theory and applicationsUbar, Raimund-Johannes; Raik, Jaan; Jenihhin, Maksim; Jutman, Artur2024 https://doi.org/10.1007/978-3-031-44734-1 https://www.ester.ee/record=b5734441*est
- Student behavior changes in Estonia revealed by e-learning technologyUdal, Andres; Kukk, Vello; Jaanus, Martin; Umbleja, Kadri; Piho, GunnarDigital Turn in Schools - Research, Policy, Practice : proceedings of ICEM 2018 Conference2019 / p. 83-90 https://doi.org/10.1007/978-981-13-7361-9_6 https://www.scopus.com/sourceid/21100834881 https://www.scopus.com/record/display.uri?eid=2-s2.0-85066961801&origin=inward&txGid=8afee86db32a9705a34db82522db0f11 https://www.webofscience.com/wos/woscc/full-record/WOS:000502763500006
- A study into elevator passenger in-cabin behaviour on a smart-elevator platformRobal, Tarmo; Basov, Kevin; Reinsalu, Uljana; Leier, MairoBaltic Journal of Modern Computing2022 / p. 665-688 : ill https://doi.org/10.22364/bjmc.2022.10.4.05 https://www.scopus.com/sourceid/21100983350 https://www.scopus.com/record/display.uri?eid=2-s2.0-85145470755&origin=inward&txGid=ddc09b942489e655b7561ea5f715690e https://jcr.clarivate.com/jcr-jp/journal-profile?journal=BALT%20J%20MOD%20COMPUT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000918356500006
- Subsurface flow path modeling from inertial measurement unit sensor data using infinite hidden Markov modelsPiho, Laura; Kruusmaa, MaarjaIEEE sensors journal2022 / p. 621-630 : ill https://doi.org/10.1109/JSEN.2021.3128838 https://www.scopus.com/sourceid/15047 https://www.scopus.com/record/display.uri?eid=2-s2.0-85122383225&origin=inward&txGid=d674bd4aa2c0460d4d11282432dd8695 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20SENS%20J&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000735528200072
- Supply air temperature control in air handling unit based on federated learningEik, Marika; Nourollahi Hokmabad, Hossein; Köse, Ahmet; Husev, Oleksandr; Belikov, Juri2024 IEEE Power and Energy Society General Meeting, PESGM 2024, Seattle, 21 July 25 July, 20242024 / 5 p. : ill https://doi.org/10.1109/PESGM51994.2024.10688759 https://www.scopus.com/sourceid/20600195623 https://www.scopus.com/record/display.uri?eid=2-s2.0-85207455273&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=ALL%28%22Supply+air+temperature+control+in+air+handling+unit+based+on+federated+learning%22%29&sessionSearchId=fd90d0e9983d9a88c6a21e7c6aafecbb&relpos=0
- Surface vessel localization from wake measurements using an array of pressure sensors in the littoral zoneRätsep, Margus; Parnell, Kevin Ellis; Soomere, Tarmo; Kruusmaa, Maarja; Ristolainen, Asko; Tuhtan, Jeffrey AndrewOcean engineering2021 / art. 109156 https://doi.org/10.1016/j.oceaneng.2021.109156 https://www.scopus.com/sourceid/28339 https://www.scopus.com/record/display.uri?eid=2-s2.0-85107272149&origin=inward&txGid=436f0cabde32a42e05752e9456aa200e https://jcr.clarivate.com/jcr-jp/journal-profile?journal=OCEAN%20ENG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000661134200036
- Surrogate data method requires end-matched segmentation of electroencephalographic signals to estimate non-linearityPäeske, Laura; Bachmann, Maie; Põld, Toomas; Oliveira, Sara Pereira Mendes de; Lass, Jaanus; Raik, Jaan; Hinrikus, HiieFrontiers in physiology2018 / 1350 ; 9 p. : ill https://doi.org/10.3389/FPHYS.2018.01350 https://www.scopus.com/sourceid/21100215107 https://www.scopus.com/record/display.uri?eid=2-s2.0-85072770855&origin=inward&txGid=5cd3702de66c9790d2e9cd88f74fd678 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=FRONT%20PHYSIOL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000445793700001
- Survey on architectural attacks : a unified classification and attack modelGhasempouri, Tara; Raik, Jaan; Reinbrecht, Cezar; Hamdioui, Said; Hamdioui, SaidACM Computing Surveys2023 / art. 42 https://doi.org/10.1145/3604803 https://www.scopus.com/sourceid/23038 https://www.scopus.com/record/display.uri?eid=2-s2.0-85174677921&origin=resultslist&sort=plf-f&src=s&sid=5017a1c9d633ac6f0480b4ae72b5920a&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Survey+on+Architectural+Attacks%3A+A+Unified+Classification+and+Attack+Model%22%29&sl=91&sessionSearchId=5017a1c9d633ac6f0480b4ae72b5920a&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ACM%20COMPUT%20SURV&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001085637600017
- A survey on split manufacturing : attacks, defenses, and challengesPerez, Tiago Diadami; Pagliarini, Samuel NascimentoIEEE Access2020 / p. 184013-184035 https://doi.org/10.1109/ACCESS.2020.3029339 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85101841306&origin=inward&txGid=1abec245e5e8a6b34906241854d7052d https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000579348000001
- Survey on the benefits of using memristors for PUFsAljafar, Muayad J.; Acken, John M.International Journal of Parallel, Emergent and Distributed Systems2022 / p. 40-67 https://doi.org/10.1080/17445760.2021.1972295
- A survey on UAV computing platforms : a hardware reliability perspectiveAhmed, Foisal; Jenihhin, MaksimSensors2022 / art. 6286 https://doi.org/10.3390/s22166286 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85136625318&origin=inward&txGid=104823fe2944deb91b3cd11de67100a3 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000845429300001
- Surviving the unforeseen - teaching IT and engineering students during COVID-19 outbreakRuberg, Priit; Ellervee, Peeter; Tammemäe, Kalle; Reinsalu, Uljana; Rähni, Andres; Robal, TarmoProceedings - Frontiers in Education Conference, FIE2022 / Code 184790 https://doi.org/10.1109/FIE56618.2022.9962383 https://www.scopus.com/sourceid/16719 https://www.scopus.com/record/display.uri?eid=2-s2.0-85143837405&origin=inward&txGid=50720d6cedc2cbb3f9463d0b1f491996
- Sustainable machine-to-everything infrastructure management: blockchain-based impact indexing for distributed collaboration systemsChornoval, Olena; Norta, AlexanderProceedings of the IEEE/IFIP Network Operations and Management Symposium 2022: Network and Service Management in the Era of Cloudification, Softwarization and Artificial Intelligence, NOMS 20222022 / Code 179994 https://doi.org/10.1109/NOMS54207.2022.9789760
- Süvatehnoloogiate alternatiivsed arengutrajektoorid ja nende tähendus Eestile : lõpparuanneKoppel, Kaupo; Kuusik, Alar; Arrak, Kadri; Raik, Jaan; Niidu, Allan; Kõks, Kerttu-Liis; Lahtvee, Petri-Jaan2023 https://media.voog.com/0000/0037/5345/files/S%C3%BCvatehnoloogiate%20arengutrajektoorid%20ja%20nende%20t%C3%A4hendus%20Eestile_l%C3%B5ppraport_juuli2023.pdf
- A symbiosis between population based incremental learning and LP-relaxation based parallel genetic algorithm for solving integer linear programming modelsFallah, Mohammad K.; Fazlali, Mahmood; Daneshtalab, MasoudComputing2023 / p. 1121-1139 https://doi.org/10.1007/s00607-021-01004-x
- Synthesising schedules to improve QoS of best-effort traffic in TSN networksHoutan, Bahar; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, SaadRTNS'2021 : 29th International Conference on Real-Time Networks and Systems2021 / p. 68-77 https://doi.org/10.1145/3453417.3453423
- A synthetic, hierarchical approach for modelling and managing complex systems' quality and reliability = Sünteetiline, hierarhiline lähenemine keerukate süsteemide kvaliteedi ja töökindluse modelleerimiseks ja haldamiseksBalakrishnan, Aneesh2022 https://doi.org/10.23658/taltech.11/2022 https://digikogu.taltech.ee/et/Item/a594d3ec-0e6b-4a78-819a-fe1f47992612
- A systematic literature review on hardware reliability assessment methods for deep neural networksAhmadilivani, Mohammad Hasan; Taheri, Mahdi; Raik, Jaan; Daneshtalab, Masoud; Jenihhin, MaksimACM Computing Surveys2024 / art. 141 https://doi.org/10.1145/3638242 https://www.scopus.com/sourceid/23038 https://www.scopus.com/record/display.uri?eid=2-s2.0-85188964919&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.1145%2F3638242%29&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ACM%20COMPUT%20SURV&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001208566200007
- A systematic review of cutting-edge radar technologies : applications for unmanned ground vehicles (UGVs)Ersü, Can; Petlenkov, Eduard; Janson, KarlSensors2024 / art. 7807 https://doi.org/10.3390/s24237807 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85211824200&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=DOI%2810.3390%2Fs24237807%29&sessionSearchId=fa5e22e6b6020c2cc124a3eadf2fa9d1&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001377902500001
- Systematic review of fault tolerant techniques in underwater sensor networksVihman, Lauri; Kruusmaa, Maarja; Raik, JaanSensors2021 / art. 3264 https://doi.org/10.3390/s21093264 https://www.scopus.com/sourceid/130124 https://www.scopus.com/record/display.uri?eid=2-s2.0-85105394259&origin=inward&txGid=b17e09f7967915fe073c1a23baec1b5e https://jcr.clarivate.com/jcr-jp/journal-profile?journal=SENSORS-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000650755700001
- A systematic study of lattice-based NIST PQC algorithms : from reference implementations to hardware acceleratorsImran, Malik; Abideen, Zain Ul; Pagliarini, Samuel NascimentoarXiv.org2020 / 36 p. : ill
- Systematic unsupervised recycled field-programmable gate array detectionIsaka, Yuya; Shintani, Michihiro; Ahmed, Foisal; Inoue, MichikoIEEE transactions on device and materials reliability2022 / 10 p. : ill https://doi.org/10.1109/TDMR.2022.3164788 https://www.scopus.com/sourceid/26049 https://www.scopus.com/record/display.uri?eid=2-s2.0-85127747038&origin=inward&txGid=3198fc47a697d91dd3b9d6fe2fbe8c2f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20DEVICE%20MAT%20RE&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000808073600012
- Zero-memory-overhead clipping-based fault tolerance for LSTM deep neural networksParchekani, Bahram; Nazari, Samira; Ahmadilivani, Mohammad Hasan; Azarpeyvand, Ali; Raik, Jaan; Ghasempouri, Tara; Daneshtalab, Masoud37th IEEE International Symposium on Defect and Fault Tolerancein VLSI and Nanotechnology Systems, Harwell, Oxfordshire, Didcot, UK, October 8th - 10th, 20242024 / 4 p. : ill https://doi.org/10.1109/DFT63277.2024.10753533 https://www.scopus.com/sourceid/21101123252 https://www.scopus.com/record/display.uri?eid=2-s2.0-85212407196&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=ALL%28%22Zero-Memory-Overhead+Clipping-Based+Fault+Tolerance+for+LSTM+Deep+Neural+Networks%22%29&sessionSearchId=fd90d0e9983d9a88c6a21e7c6aafecbb&relpos=0
- Zur Bedeutung bioinspirierter Messtechnik in der EthohydraulikBensing, Katharina; Lehmann, Boris; Tuhtan, Jeffrey Andrew; Toming, GertWasserwirtschaft2024 / p. 26-33 https://doi.org/10.1007/s35147-024-2412-y https://www.scopus.com/sourceid/110004 https://www.scopus.com/record/display.uri?eid=2-s2.0-85208640402&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=ALL%28%22The+Role+of+Bioinspired+Pressure+Sensing++in+Ethohydraulics%22%29&sessionSearchId=fd90d0e9983d9a88c6a21e7c6aafecbb&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=WASSERWIRTSCHAFT&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001386702200004
- Tallinna Tehnikaülikooli allveerobot hõlbustab kalakasvatajate tööd [Võrguväljaanne]Kruusmaa, Maarjanovaator.err.ee2020 / fot https://novaator.err.ee/1077586/tallinna-tehnikaulikooli-allveerobot-holbustab-kalakasvatajate-tood
- Tallinna tehnikaülikooli uus näguOorn, Arvo; Jervan, Gert; Listra, Enn; Kanger, TõnisSirp2017 / lk. 33-35 : portr http://www.sirp.ee/s1-artiklid/c21-teadus/tallinna-tehnikaulikooli-uus-nagu/
- TalTech hakkab tegema koostööd juhtiva tehnoloogiaettevõttegadigi.geenius.ee2024 https://digi.geenius.ee/blogi/teadus-ja-tulevik/taltech-hakkab-tegema-koostood-juhtiva-tehnoloogiaettevottega/
- TalTech создает роботов для обслуживания канализационных сетейrus.postimees.ee2024 https://rus.postimees.ee/8088364/taltech-sozdaet-robotov-dlya-obsluzhivaniya-kanalizacionnyh-setey https://rus.err.ee/1609441499/taltech-razrabotaet-robotov-dlja-kanalizacionnoj-seti
- TalTechDigital sai diginõukojaAaviksoo, Jaak; Gil, Gerlin; Helm, Marek; Jervan, Gert; Krimmer, Robert Johannes; Lackman, Seth; Otto, Tauno; Saar, Kirke; Sulling, Anne; Tammet, TanelMente et Manu2017 / lk. 26-30 http://www.ester.ee/record=b1242496*est https://artiklid.elnet.ee/record=b2830872*est
- TalTechi aasta vilistlane, Testlio asutaja Kristel Kruustük: hirmutavad hetked suunavad õigele rajaledigi.geenius.ee2023 https://digi.geenius.ee/blogi/teadus-ja-tulevik/taltechi-aasta-vilistlane-testlio-asutaja-kristel-kruustuk-hirmutavad-hetked-suunavad-oigele-rajale/
- TalTechi IT-teadlased hakkavad uurima luude tervist mikrogravitatsioonismu.ee2024 https://www.mu.ee/uudised/2024/06/27/taltechi-it-teadlased-hakkavad-uurima-luude-tervist-mikrogravitatsioonis https://teadus.postimees.ee/8048819/tehnikaulikooli-it-teadlased-hakkavad-uurima-luude-tervist-mikrogravitatsioonisTehnikaülikooli
- TalTechi professor Eduard Petlenkov: kõige puhtam on energia, mida ei pea tootmaPetlenkov, Eduard; Küla, Janar; Täkker, Siimari.geenius.ee2023 https://ari.geenius.ee/blogi/taltechi-blogi/taltechi-professor-eduard-petlenkov-koige-puhtam-on-energia-mida-ei-pea-tootma/
- TalTechi põdrajalgse roboti uudis pälvis maailmas tähelepanuPärn, Reinpostimees.ee2025 https://teadus.postimees.ee/8172243/taltechi-podrajalgse-roboti-uudis-palvis-maailmas-tahelepanu
- TalTechi uus magistrikava avab lõpetajatele uksed looma uut nutikat maailma tarkadest majadest rohepöördeniarileht.delfi.ee2023 https://arileht.delfi.ee/artikkel/120172300/taltechi-uus-magistrikava-avab-lopetajatele-uksed-looma-uut-nutikat-maailma-tarkadest-majadest-rohepoordeni
- TAS : Ternarized Neural Architecture Search for Resource-Constrained Edge DevicesLoni, Mohammad; Mousavi, Hamid; Riazati, Mohammad; Daneshtalab, Masoud; Sjödin, MikaelProceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE 2022)2022 / p. 1115-1118 https://doi.org/10.23919/DATE54114.2022.9774615
- Teaching digital system testOyeniran, Adeboye Stephen; Ubar, Raimund-Johannes; Kruus, MargusThe 27th EAEEIE Annual Conference : June 7-9, 2017, Grenoble2017 / [6] p
- Teaching rapid application development skills for digitalisation challengesRobal, Tarmo; Reinsalu, Uljana; Leoste, Janika; Jürimägi, Lembit; Heinsar, RistoDigital Business and Intelligent Systems : 16th International Baltic Conference, Baltic DB&IS 2024, Vilnius, Lithuania, June 30 – July 3, 2024, Proceedings2024 / p. 177-192 : ill https://doi.org/10.1007/978-3-031-63543-4_12 https://www.scopus.com/sourceid/17700155007 https://www.scopus.com/record/display.uri?eid=2-s2.0-85199144005&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Teaching+Rapid+Application+Development+Skills+for+Digitalisation+Challenges%22%29&relpos=1
- Teadlane arutleb : missugused on moodsa kübersõja eetilised aspektid ja kas me suudame ennast kaitsta?Leier, Mairodigi.geenius.ee2024 https://digi.geenius.ee/blogi/teadus-ja-tulevik/teadlane-arutleb-missugused-on-moodsa-kubersoja-eetilised-aspektid-ja-kas-me-suudame-ennast-kaitsta/
- Teadlane vastab : kas robotid võivad muutuda inimestele ohtlikeks? [Võrguväljaanne]Kruusmaa, Maarjaforte.delfi.ee2020 / video https://forte.delfi.ee/news/tehnika/teadlane-vastab-kas-robotid-voivad-muutuda-inimestele-ohtlikeks?id=89005661
- Teadlane vastab : kas robotid võtavad tulevikus meie töö ära? [Võrguväljaanne]Kruusmaa, MaarjaEesti Teaduste Akadeemia : Youtube kanal2020 / video https://www.youtube.com/watch?v=Gtrou_fbJaw
- Teadlase kuvand ja usaldusväärsusKruusmaa, MaarjaTeadus ja ühiskond2018 / lk. 91-96 : fot
- Teaduses ja äris on samad põhimõttedJervan, GertÄripäev2019 / lk. 12
- Teaduskeel on ühendaja - intervjuu Maarja KruusmaagaKruusmaa, MaarjaEesti Teaduste Akadeemia sõnas ja pildis 20182019 / lk. 48-53 : fot
- Teaduspõhisus eesriide tagaUbar, Raimund-JohannesTeadus ja ühiskond2018 / lk. 9-27 : ill., fot
- Techniques for robust routing, communication and computation in multiprocessor systems = Robustse marsruutimise, side ja arvutuse tehnikad mitmeprotsessorilistes süsteemidesJanson, Karl2021 https://www.ester.ee/record=b5396084*est https://digikogu.taltech.ee/et/Item/c9091d5c-dcd8-4b21-95a7-84ead85241e6 https://doi.org/10.23658/taltech.3/2021
- Tehisintellekt – millest kogu see kära?Jervan, Gertdigi.geenius.ee2023 https://digi.geenius.ee/blogi/teadus-ja-tulevik/tehisintellekt-millest-kogu-see-kara/
- Tehisintellekt rohepöörde eesmärkide teenistusesPetlenkov, Eduard; Belikov, JuriRUP. Finants- ja õigusajakiri2023 / lk. 9-13 : ill https://www.ester.ee/record=b5550879*est
- Tehisintellektide pealetung: kas inimkond peaks kartma või rõõmustama?Einama, KaidoPostimees2023 / Lk. 11 https://dea.digar.ee/article/ak/2023/02/11/7.1
- Tere, tenuur!Raik, JaanMente et Manu2017 / lk. 4-5 : fot https://www.ttu.ee/public/m/mente-et-manu/MM_05_2017/mobile/index.html https://artiklid.elnet.ee/record=b2826986*est
- "Tervis ruudus", ehk, Tippkeskuse CEBE luguUbar, Raimund-JohannesTeadusmõte Eestis (X). Tehnikateadused. 3 : [artiklikogumik]2019 / lk. 200-215 : ill., fot https://www.ester.ee/record=b5208765*est
- The CMS fast beam condition monitor for HL-LHCAuzinger, G.; Bakhshiansohi, H.; Dabrowski, A.; Delannoy, A.G.; Dierlamm, A.; Dragicevic, M.; Gholami, A.; Gomez, G.; Jenihhin, Maksim; Shibin, KonstantinJournal of instrumentation2024 / art. C03048, 10 p. : ill https://doi.org/10.1088/1748-0221/19/03/C03048 https://www.scopus.com/sourceid/4900152808 https://www.scopus.com/record/display.uri?eid=2-s2.0-85188347582&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22The+CMS+Fast+Beam+Condition+Monitor+for+HL-LHC%22%29&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20INSTRUM&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001189711800006
- The EcoPeak4Fish Project : an integrated approach to support self-sustaining fish populations downstream hydropower plantsBoavida, Isabel; Santos, Jose Maria; Costa, Maria Joao; Leite, Renan; Portela, Maria Manuela; Godinho, Francisco; Leitao, Pedro; Mota, Rui; Tuhtan, Jeffrey Andrew; Pinheiro, Antonio N.Proceedings 39th IAHR World Congress2022 / p. 1434-1438 https://doi.org/10.3850/IAHR-39WC2521711920221160
- The optimization, design and performance of the FBCM23 ASIC for the upgraded CMS beam monitoring systemKaplon, Jan; Wegrzyn, Grzegorz; Shibin, Konstantin; Barendregt, MarnixJournal of instrumentation2024 / art. C02026, 6 p. : ill https://doi.org/10.1088/1748-0221/19/02/C02026 https://www.scopus.com/sourceid/4900152808 https://www.scopus.com/record/display.uri?eid=2-s2.0-85185715697&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22The+optimization%2C+design+and+performance+of+the+FBCM23+ASIC+for+the+upgraded+CMS+beam+monitoring+system%22%29&sessionSearchId=2bbe75602bfce151f67e72e233c95154&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20INSTRUM&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001181755600002
- The RETERO Project : 3R motivated risk assessment methods for downstream fish passage through hydraulic structuresHoerner, Stefan; Abbaszadeh, Shokoofeh; Busch, Andre; Kopecki, Ianina; Leidhold, Roberto; Müller, Nadine; Powalla, Dennis; Rössger, Tom; Roth, Marcio Salgueiro; Schneider, Matthias; Stamm, Jürgen; Thevenin, Dominique; Toming, Gert; Tuhtan, Jeffrey Andrew; Wagner, Falko; Warth, Peter14th International Symposium on Ecohydraulics (ISE 2022) : October 10-14, 2022 : Nanjing, China2022 / p. 1-5 : ill https://iahr.oss-accelerate.aliyuncs.com/upload/file/20221009/20221009192646_12566.pdf
- The validation of graph model-based, gate level low-dimensional feature data for machine learning applicationsBalakrishnan, Aneesh; Lange, Thomas; Glorieux, Maximilien; Alexandrescu, Dan; Jenihhin, Maksim2019 IEEE Nordic Circuits and Systems Conference (NORCAS) : NORCHIP and International Symposium of System-on-Chip (SoC), 29-30 October 2019, Helsinki, Finland : proceedings in IEEE Xplore2019 / 7 p https://doi.org/10.1109/NORCHIP.2019.8906974
- A theoretical investigation on consideration of initial conditions in fractional-order transfer function modelingAlagoz, Baris Baykant; Tepljakov, Aleksei; Petlenkov, Eduard; Yeroglu, Celaleddin2017 40th International Conference on Telecommunications and Signal Processing TSP : July 5-7, 2017, Barcelona, Spain2017 / p. 710-713 : ill https://doi.org/10.1109/TSP.2017.8076080
- A theory of secure and efficient implementation of electronic moneyBuldas, Ahto; Draheim, Dirk; Saarepera, MärtSN Computer Science2023 / art. 861, 25 p. : ill https://doi.org/10.1007/s42979-023-02232-y https://www.scopus.com/sourceid/21101083109 https://www.scopus.com/record/display.uri?eid=2-s2.0-85182407565&origin=resultslist&sort=plf-f&src=s&sid=ab6af771262882a740025f59d1076392&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22A+Theory+of+Secure+and+Efficient+Implementation+of+Electronic+Money%22%29&sl=84&sessionSearchId=ab6af771262882a740025f59d1076392&relpos=0
- 3D CFD analysis of pressure, boundary layer and shear stresses on a gudgeon (Gobio gobio)Khan, Ali Hassan; Hoerner, Stefan; Toming, Gert; Kruusmaa, Maarja; Tuhtan, Jeffrey A.Journal of ecohydraulics2024 / 15 p https://doi.org/10.1080/24705357.2024.2426809
- A 3-D crossbar architecture for both pipeline and parallel computationsAljafar, Muayad J.; Acken, John M.IEEE Transactions on Circuits and Systems I : regular papers2021 / p. 4456-4469 https://doi.org/10.1109/TCSI.2021.3108564 https://pdxscholar.library.pdx.edu/cgi/viewcontent.cgi?article=1680&context=ece_fac
- 3D modelling of non-uniform and turbulent flow in vertical slot fishwaysFuentes-Pérez, Juan Francisco; Silva, A.T.; Tuhtan, Jeffrey Andrew; Garcia-Vega, Ana; Carbonell Baeza, Ruth; Musall, Mark; Kruusmaa, MaarjaEnvironmental modelling & software2018 / p. 156-169 : ill https://doi.org/10.1016/j.envsoft.2017.09.011 https://www.scopus.com/sourceid/23295 https://www.scopus.com/record/display.uri?eid=2-s2.0-85032736133&origin=inward&txGid=ecb993294b73f33f42f1558457b3a6ca https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENVIRON%20MODELL%20SOFTW&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000416030000013
- 3DLaneNAS : neural architecture search for accurate and light-weight 3D lane detectionZoljodi, Ali; Loni, Mohammad; Abadijou, Sadegh; Alibeigi, Mina; Daneshtalab, MasoudArtificial Neural Networks and Machine Learning - ICANN 2022 : proceedings. Part I2022 / p. 404-415 https://doi.org/10.1007/978-3-031-15919-0_34 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85138760578&origin=resultslist&sort=plf-f&src=s&sid=5f15bbef7f741d4142d17d6499245e05&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22architecture+search+for+accurate+and+light-weight%22%29&sl=66&sessionSearchId=5f15bbef7f741d4142d17d6499245e05&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000866210600034
- Time-domain identification of one noninteger order plus time delay models from step response measurementsAlagoz, Baris Baykant; Tepljakov, Aleksei; Ates, Abdullah; Petlenkov, Eduard; Yeroglu, CelaleddinInternational journal of modeling, simulation, and scientific computing2019 / art. 1941011, 22 p. : ill https://doi.org/10.1142/S1793962319410113 https://www.scopus.com/sourceid/19900192598 https://www.scopus.com/record/display.uri?eid=2-s2.0-85061797174&origin=inward&txGid=5d49b6a4d82398242a563a858c1bb46f https://jcr.clarivate.com/jcr-jp/journal-profile?journal=INT%20J%20MODEL%20SIMUL%20SC&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000459159100011
- Time-Sensitive Networking in automotive embedded systems : state of the art and research opportunitiesAshjaei, Mohammad; Bello, Lucia Lo; Daneshtalab, Masoud; Patti, Gaetano; Saponara, Sergio; Mubeen, SaadJournal of Systems Architecture : the EUROMICRO Journal2021 https://doi.org/10.1016/j.sysarc.2021.102137
- Timing-critical path analysis with structurally synthesized BDDsUbar, Raimund-Johannes; Jürimägi, Lembit; Jenihhin, Maksim; Raik, Jaan; Olugbenga, Niyi-Leigh; Viies, Vladimir2018 7th Mediterranean Conference on Embedded Computing (MECO)2018 / 6 p. : ill https://doi.org/10.1109/MECO.2018.8406051
- A tool for design-time usability evaluation of web user interfacesMarenkov, Jevgeni; Robal, Tarmo; Kalja, AhtoAdvances in databases and information systems 21st European Conference, ADBIS 2017 Nicosia, Cyprus, September 24-27, 2017 : proceedings2017 / p. 394-407 : ill https://doi.org/10.1007/978-3-319-66917-5_26 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85030183638&origin=inward&txGid=be09d358e2a39dd209483ee9cb7a5475 https://www.webofscience.com/wos/woscc/full-record/WOS:000463611400026
- Topology and spatial-pressure-distribution reconstruction of an englacial channelPiho, Laura; Alexander, Andreas; Kruusmaa, MaarjaThe cryosphere2022 / p. 3669–3683 https://doi.org/10.5194/tc-16-3669-2022 https://www.scopus.com/sourceid/11700154363 https://www.scopus.com/record/display.uri?eid=2-s2.0-85140366551&origin=inward&txGid=1161cd8422a0204eed82bf76296963f9 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=CRYOSPHERE&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000853013500001
- A touch-free service button for Smart Elevator Operation with dynamic QR-code generationReinsalu, Uljana; Robal, Tarmo2023 12th Mediterranean Conference on Embedded Computing (MECO) : 6-10 June 2023, Budva, Montenegro : proceedings2023 / 4 p. : ill https://doi.org/10.1109/MECO58584.2023.10155102
- Towards a machine learning-based framework for DDOS attack detection in software-defined IoT (SD-IoT) networksBhayo, Jalal; Shah, Syed Attique; Hameed, Sufian; Ahmed, Awais; Nasir, Jamal; Draheim, DirkEngineering Applications of Artificial Intelligence2023 / art. 106432 https://doi.org/10.1016/j.engappai.2023.106432 https://www.scopus.com/sourceid/24182 https://www.scopus.com/record/display.uri?eid=2-s2.0-85159780293&origin=resultslist&sort=plf-f&src=s&sid=13d6b29721fc4ff8628ddb8b7c253ffa&sot=b&sdt=b&s=TITLE%28%22Towards+a+machine+learning-based+framework+for+DDOS+attack+detection+in+software-defined+IoT+%28SD-IoT%29+networks%22%29&sl=140&sessionSearchId=13d6b29721fc4ff8628ddb8b7c253ffa&relpos=0 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENG%20APPL%20ARTIF%20INTEL&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001011000000001
- Towards a reliable and validated toolbox to replace live fish tests for the assessment of injury and mortality during downstream passageHoerner, Stefan; Kösters, Wolf Iring; Abbaszadeh, Shokoofeh; Wagner, Falko; Tuhtan, Jeffrey AndrewProceedings of the 15th International Symposium on Ecohydraulics and Fish Passage 2024 (ISE-FP)2024 / p. 1 https://hal.science/hal-04642604
- Towards a synesthesia laboratory : real-time localization and Visualization of a sound source for virtual reality applicationsKöse, Ahmet; Tepljakov, Aleksei; Astapov, Sergei; Draheim, Dirk; Petlenkov, Eduard; Vassiljeva, KristinaJournal of communications software and systems2018 / p. 112–120 : ill https://doi.org/10.24138/jcomss.v14i1.410 https://www.scopus.com/sourceid/19900191968 https://www.scopus.com/record/display.uri?eid=2-s2.0-85056513871&origin=inward&txGid=aeef0a83873d2f1f18f40498c2bb5943
- Towards artificial intelligence driven immersive environments in virtual reality for industrial applicationsAlsaleh, Saleh Ragheb Saleh; Tepljakov, Aleksei; Tamre, Mart; Petlenkov, Eduard44th International Conference on Telecommunications and Signal Processing (TSP)2021 / p. 340-345 https://doi.org/10.1109/TSP52935.2021.9522676
- Towards assessment of behavioral patterns in a virtual reality environmentKöse, Ahmet; Tepljakov, Aleksei; Abel, Mihkel; Petlenkov, EduardAugmented Reality, Virtual Reality, and Computer Graphics : 6th International Conference, AVR 2019 :Santa Maria al Bagno, Italy, June 24–27, 2019 : Proceedings. Part I2019 / p. 237–253 : ill https://doi.org/10.1007/978-3-030-25965-5_18 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85070752872&origin=inward&txGid=aefb713bccc434b6aed12ea0cab6cb8c https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000612920100018
- Towards assisting interactive reality : interactive reality for education, data analysis and industryKöse, Ahmet; Tepljakov, Aleksei; Petlenkov, EduardAugmented Reality, Virtual Reality, and Computer Graphics : 5th International Conference : AVR 2018, Otranto, Italy, June 24–27, 2018 : proceedings, Part I2018 / p. 569-588 https://doi.org/10.1007/978-3-319-95282-6_41 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85050270608&origin=inward&txGid=e2d541d99a096dc2a026db4b1841f0d3 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=LECT%20NOTES%20ARTIF%20INT&year=2005 https://www.webofscience.com/wos/woscc/full-record/WOS:000468540200041
- Towards dynamic modeling in immersive environments with assessment of user experiences = Dünaamilised mudelid virtuaalsetes keskkondades ja kasutajakogemuste hindamineKöse, Ahmet2020 https://www.ester.ee/record=b5378018*est https://digikogu.taltech.ee/et/Item/4f5fbdbd-21c5-4c6a-94ee-fa8374b5ce3a
- Towards formal verification of cache access-based side-channel attacksNiazmand, Behrad; Reinbrecht, Cezar; Raik, Jaan; Jervan, Gert; Sepulveda, JohannaTestmethoden und Zuverlässigkeit von Schaltungen und Systemen, TUZ 20192019 / 2 p. : tab http://www.informatik.uni-bremen.de/tuz/2019
- Towards industrialization of FOPID controllers : a survey on milestones of fractional-order control and pathways for future developmentsTepljakov, Aleksei; Alagoz, Baris Baykant; Yeroglu, Celaleddin; Gonzalez, Emmanuel A.; HosseinNia, Hassan; Petlenkov, Eduard; Ates, Abdullah; Cech, MartinIEEE Access2021 / p. 21016-21042 https://doi.org/10.1109/ACCESS.2021.3055117 https://www.scopus.com/sourceid/21100374601 https://www.scopus.com/record/display.uri?eid=2-s2.0-85100508854&origin=inward&txGid=16b371246eccad18bd65fc53b28d4f6b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ACCESS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000616299500001
- Towards multidimensional verification : where functional meets non-functionalJenihhin, Maksim; Lai, Xinhui; Ghasempouri, Tara; Raik, Jaan2018 IEEE Nordic Circuits and Systems Conference (NORCAS) : NORCHIP and International Symposium of System-on-Chip (SoC) : 30-31 October 2018, Tallinn, Estonia : proceedings in IEEE Xplore2018 / 7 p. : ill https://doi.org/10.1109/NORCHIP.2018.8573495
- Towards personalized elevator travel with smart elevator systemRobal, Tarmo; Reinsalu, Uljana; Leier, MairoBaltic journal of modern computing2020 / p. 675-697 https://doi.org/10.22364/bjmc.2020.8.4.12 https://www.scopus.com/sourceid/21100983350 https://www.scopus.com/record/display.uri?eid=2-s2.0-85099198265&origin=inward&txGid=22f87c23fb890420acf270a4b8cc211a https://jcr.clarivate.com/jcr-jp/journal-profile?journal=BALT%20J%20MOD%20COMPUT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000601605000014
- Towards understanding fish behavior near an angled rack : an approach for fish tracing using open-source softwareKopecki, Ianina; Schneider, Matthias; Bensing, Katharina; Lehmann, Boris; Becker, Andreas; Ortlepp, Johannes; Tuhtan, Jeffrey Andrew14th International Symposium on Ecohydraulics (ISE 2022) : October 10-14, 2022 : Nanjing, China2022 / p. 1-2 https://iahr.oss-accelerate.aliyuncs.com/upload/file/20221009/20221009195954_71750.docx
- Triple fixed-point MAC unit for deep learningKerner, Madis; Tammemäe, Kalle; Raik, Jaan; Hollstein, ThomasProceedings of the 2021 Design, Automation & Test in Europe (DATE 2021), 1-5 February 2021 : Virtual Conference2021 / p. 1404-1407 https://doi.org/10.23919/DATE51398.2021.9474020
- True path tracing in structurally synthesized BDDs for testability analysis of
digital circuitsUbar, Raimund-Johannes; Jürimägi, Lembit; Oyeniran, Adeboye Stephen; Jenihhin, MaksimEuromicro Conference on Digital System Design : DSD 2019 : 28 - 30 August 2019
Kallithea, Chalkidiki, Greece : proceedings2019 / p. 492-499 : ill https://doi.org/10.1109/DSD.2019.00077
- TTÜ arvutitehnika instituut sai 50-aastaseksKruus, MargusMente et Manu2017 / lk. 38-39 : fot http://www.ttu.ee/public/m/mente-et-manu/MM_01_2017/index.html https://artiklid.elnet.ee/record=b2811478*est
- TTÜ professor: võidujooks parema tehisintellekti nimel on varjutanud eetikaOidermaa, Jaan-Juhannovaator.err.ee2023 https://novaator.err.ee/1608931583/ttu-professor-voidujooks-parema-tehisintellekti-nimel-on-varjutanud-eetika
- TTÜ teadlased katsetasid Muhu rannikuvees maailmas ainulaadseid rõhuandureid [Võrguväljaanne]Muld, Margusnovaator.err.ee2020 / fot https://novaator.err.ee/1140187/ttu-teadlased-katsetasid-muhu-rannikuvees-maailmas-ainulaadseid-rohuandureid
- Tuhat eurot palgale juurde! Just nii palju rohkem teenid, kui astud selle ühe sammu!Jervan, Gertarileht.delfi.ee2024 https://arileht.delfi.ee/artikkel/120282700/tuhat-eurot-palgale-juurde-just-nii-palju-rohkem-teenid-kui-astud-selle-uhe-sammu
- Tunned huvi IT-erialade vastu, kuid pole valikutes veel kindel? Tee väike test!delfi.ee2024 https://arileht.delfi.ee/artikkel/120295939/tunned-huvi-it-erialade-vastu-kuid-pole-valikutes-veel-kindel-tee-vaike-test
- A tutorial on design obfuscation : from transistors to systemsPagliarini, Samuel Nascimento2021 IEEE 22nd Latin American Test Symposium (LATS), Punta del Este, Uruguay, 27-29 October 20212021 / 3 p. : ill https://doi.org/10.1109/LATS53581.2021.9651741
- A tutorial on dynamics and control of power systems with distributed and renewable energy sources based on the DQ0 transformationLevron, Yoash; Belikov, Juri; Baimel, DmitryApplied sciences2018 / art. 1661, 48 p. : ill https://doi.org/10.3390/app8091661 https://www.scopus.com/sourceid/21100829268 https://www.scopus.com/record/display.uri?eid=2-s2.0-85053423147&origin=inward&txGid=616653e74c708214c7f147320b8c29d4 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=APPL%20SCI-BASEL&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000445760200250
- 2018 IEEE Nordic Circuits and Systems Conference (NORCAS) : NORCHIP and International Symposium of System-on-Chip (SoC) : 30-31 October 2018, Tallinn, Estonia : proceedings in IEEE Xplore [Online resource]2018 https://ieeexplore.ieee.org/xpl/conhome/8552599/proceeding
- 2019 IEEE Nordic Circuits and Systems Conference (NORCAS) : NORCHIP and International Symposium of System-on-Chip (SoC), 29-30 October 2019, Helsinki, Finland : proceedings in IEEE Xplore2019
- 2D estimation of velocity relative to water and tidal currents based on differential pressure for autonomous underwater vehiclesMeurer, Christian; Fuentes-Perez, Juan Francisco; Schwarzwalder, Kordula; Ludvigsen, Martin; Sorensen, Asgeir Johan; Kruusmaa, MaarjaIEEE robotics and automation letters2020 / p. 3444−3451 https://doi.org/10.1109/LRA.2020.2976318 https://www.scopus.com/sourceid/21100900379 https://www.scopus.com/record/display.uri?eid=2-s2.0-85081743002&origin=inward&txGid=41259e9ce750e5388aeba90e9a911534 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20ROBOT%20AUTOM%20LET&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000520954200028
- A two-stream context-aware ConvNet for pavement distress detectionLõuk, Roland; Tepljakov, Aleksei; Riid, Andri2020 43rd International Conference on Telecommunications and Signal Processing : TSP 2020, Milan, Italy, July 7-9, 20202020 / p. 270-273 : ill https://doi.org/10.1109/TSP49548.2020.9163538
- Tõe haprusest teaduse ja ühiskonna dialoogisUbar, Raimund-JohannesPostimees2017 / AK : arvamus, kultuur, lk. 7 https://teadus.postimees.ee/3997875/raimund-ubar-toe-haprusest-teaduse-ja-uhiskonna-dialoogis
- Tõe haprusest teaduse ja ühiskonna dialoogisUbar, Raimund-JohannesEesti Teaduste Akadeemia aastaraamat = Annales academiae scientarum Estonicae 20172018 / lk. 51-53 https://www.ester.ee/record=b1218094*est
- Täielik kosmos! TalTechi teadlased osalevad murrangulises terviseprojektisdigi.geenius.ee2024 https://digi.geenius.ee/blogi/teadus-ja-tulevik/taielik-kosmos-taltechi-teadlased-osalevad-murrangulises-terviseprojektis/
- Töökindla arvutusriistvara keskuse juht Maksim JenihhinJenihhin, Maksimforte.delfi.ee2024 https://forte.delfi.ee/artikkel/120303228/tunne-teadlast-tookindla-arvutusriistvara-keskuse-juht-maksim-jenihhin
- Tööstusdoktorantuur projektis RESCUEJenihhin, MaksimMente et Manu2017 / lk. 21 http://www.ester.ee/record=b1242496*est
- Türgist Eestisse ükssarvikut ehitama. „Tahan Eestile midagi tagasi anda“Sildmets, KadriEesti Päevaleht2023 https://dea.digar.ee/article/lp/2023/07/28/15.1 https://arileht.delfi.ee/artikkel/120219720/turgist-eestisse-ukssarvikut-ehitama-tahan-eestile-midagi-tagasi-anda
- Uncovering the symmetry of the induced ferroelectric phase transformation in polycrystalline barium titanateLemos da Silva, Lucas; Lee, Kai-Yang; Petrick, Simon; Chaves Arroyave, Cesar GiovanniJournal of applied physics2021 / art. 234101 https://doi.org/10.1063/5.0068703 https://www.scopus.com/sourceid/28132 https://www.scopus.com/record/display.uri?eid=2-s2.0-85122246897&origin=inward&txGid=c3050466d16e1333ca7f3e2c961a18ad https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20APPL%20PHYS&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000856716700004
- Understanding fault-tolerance vulnerabilities in advanced SoC FPGAs for critical applicationsCherezova, Natalia; Shibin, Konstantin; Jenihhin, Maksim; Jutman, ArturMicroelectronics reliability2023 / art. 115010, 10 p. : ill https://doi.org/10.1016/j.microrel.2023.115010 https://www.scopus.com/sourceid/26717 https://www.scopus.com/record/display.uri?eid=2-s2.0-85159638800&origin=inward&txGid=5c8c991b1cc2020860e81a21c25c1f79 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MICROELECTRON%20RELIAB&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001001810500001
- Understanding MPSoCs : exploiting memory microarchitectural vulnerabilities of high performance NoC-based MPSoCsSepulveda, Johanna; Azad, Siavoosh Payandeh; Niazmand, Behrad; Jervan, GertSAMOS '18 : Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece, July 15-19, 20182018 / p. 162-166 https://doi.org/10.1145/3229631.3239367 https://www.scopus.com/sourceid/11600154611 https://www.scopus.com/record/display.uri?eid=2-s2.0-85060980987&origin=inward&txGid=68709143f1ddabf77bcd667ba7968b24 https://www.webofscience.com/wos/woscc/full-record/WOS:000475843000021
- Understanding multidimensional verification : where functional meets non-functionalLai, Xinhui; Balakrishnan, Aneesh; Lange, Thomas; Jenihhin, Maksim; Ghasempouri, Tara; Raik, Jaan; Alexandrescu, DanMicroprocessors and microsystems2019 / art. 102867, 13 p. : ill https://doi.org/10.1016/j.micpro.2019.102867 https://www.scopus.com/sourceid/15552 https://www.scopus.com/record/display.uri?eid=2-s2.0-85071020969&origin=inward&txGid=87d2f90199a10d44d941b14d594d2f30 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MICROPROCESS%20MICROSY&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000500052000047
- Underwater bioinspired sensing: New opportunities to improve environmental monitoringTuhtan, Jeffrey Andrew; Nag, Saptarshi; Kruusmaa, MaarjaIEEE instrumentation & measurement magazine2020 / p. 30-36 https://doi.org/10.1109/MIM.2020.9062685 https://www.scopus.com/sourceid/15360 https://www.scopus.com/record/display.uri?eid=2-s2.0-85083468089&origin=inward&txGid=b7846d9a827d554933102c87f1bae8a7 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20INSTRU%20MEAS%20MAG&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000528671300006
- Underwater confined space mapping by resource-constrained autonomous vehiclePreston, Victoria; Salumäe, Taavi; Kruusmaa, MaarjaJournal of field robotics2018 / p. 1122-1148 : ill https://doi.org/10.1002/rob.21806 https://www.scopus.com/sourceid/4700152301 https://www.scopus.com/record/display.uri?eid=2-s2.0-85050484998&origin=inward&txGid=7e38d764043afd16b0d1db472dbd5e8e https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20FIELD%20ROBOT&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000446433100006
- Underwater map-based localization using flow featuresMuhammad, Naveed; Toming, Gert; Tuhtan, Jeffrey A.; Musall, Mark; Kruusmaa, MaarjaAutonomous robots2017 / p. 417-436 : ill https://doi.org/10.1007/s10514-016-9558-0 https://www.scopus.com/sourceid/18016 https://www.scopus.com/record/display.uri?eid=2-s2.0-84975745611&origin=inward&txGid=8362bbb5a3a932cac0576c1e29258afe https://jcr.clarivate.com/jcr-jp/journal-profile?journal=AUTON%20ROBOT&year=2017 https://www.webofscience.com/wos/woscc/full-record/WOS:000393817500009
- Underwater robotics is full of opportunities. Estonia has one of Europe's top 15 research teamsKruusmaa, MaarjaEstonian Centre of Excellence in ICT Research2021 / p. 48-52 : ill http://www.digar.ee/id/nlib-digar:634779 https://www.ester.ee/record=b5456158*est
- Universal mitigation of NBTI-induced aging by design randomizationJenihhin, Maksim; Kamkin, Alexander; Navabi, Zainalabedin; Sadeghi-Kohan, SomayehProceedings of 2016 IEEE East-West Design & Test Symposium (EWDTS) : Yerevan, Armenia, October 14-17, 20162017 / [5] p. : ill http://dx.doi.org/10.1109/EWDTS.2016.7807635
- Unsupervised recycled FPGA detection using symmetry analysisTarique, Tanvir Ahmad; Ahmed, Foisal; Jenihhin, Maksim; Ali, Liakot12th International Conference on Electrical and Computer Engineering : ICECE 20222022 / p. 437-440 https://doi.org/10.1109/ICECE57408.2022.10088856
- Upgrading QoSinNoC : efficient routing for mixed-criticality applications and power analysisAvramenko, Serhiy; Azad, Siavoosh Payandeh; Violante, Massimo; Niazmand, Behrad; Raik, Jaan; Jenihhin, MaksimProceedings of the 2018 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) : October 8-10, 2018, Verona, Italy2018 / p. 207-212 : ill https://doi.org/10.1109/VLSI-SoC.2018.8644866
- Use of formal methods for verification and optimization of fault lists in the scope of ISO26262Augusto da Silva, Felipe; Bagbaba, Ahmet Cagri; Hamdioui, Said; Sauer, Christian2018 Design and Verification Conference (DVCON) Europe : [proceedings]2018 / 6 p. : ill https://repository.tudelft.nl/islandora/object/uuid%3Adbd7f22d-0324-45f5-9180-8fe3fc95a9ce
- Uses and misuses of quasi-static time-varying phasor models in power systemsBelikov, Juri; Levron, YoashIEEE transactions on power delivery2018 / p. 3263-3266 https://doi.org/10.1109/TPWRD.2018.2852950 https://www.scopus.com/sourceid/17370 https://www.scopus.com/record/display.uri?eid=2-s2.0-85049460573&origin=inward&txGid=df2ad1c962e53dc3c5373ae36336e43b https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20POWER%20DELIVER&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000451230500083
- Uses of the digital twins concept for energy services, intelligent recommendation systems, and demand side management : a reviewOnile, Abiodun Emmanuel; Machlev, Ram; Petlenkov, Eduard; Levron, Yoash; Belikov, JuriEnergy reports2021 / p. 997−1015 https://doi.org/10.1016/j.egyr.2021.01.090 https://www.scopus.com/sourceid/21100389511 https://www.scopus.com/record/display.uri?eid=2-s2.0-85100666778&origin=inward&txGid=8b3a8ef689a702e459e53cfd8bb98dc5 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENERGY%20REP&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000701745900013
- Using spectrograms from underwater total pressure sensors to detect passing vessels in a coastal environmentRätsep, Margus; Parnell, Kevin Ellis; Soomere, Tarmo; Kruusmaa, Maarja; Ristolainen, Asko; Tuhtan, Jeffrey AndrewJournal of atmospheric and oceanic technology2020 / p. 1353-1363 : ill https://doi.org/10.1175/JTECH-D-19-0192.1 https://www.scopus.com/sourceid/13549 https://www.scopus.com/record/display.uri?eid=2-s2.0-85089488303&origin=inward&txGid=97a8aadadb770f761ce22f598b90bd47 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=J%20ATMOS%20OCEAN%20TECH&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000589970300004
- Using STLs for effective in-field test of GPUsRodriguez Condia, Josie E.; Da Silva, Felipe Augusto; Bagbaba, Ahmet Cagrl; Guerrero-Balaguera, Juan-David; Hamdioui, Said; Sauer, Christian; Reorda, Matteo SonzaIEEE Design and Test2023 / p. 109-117 https://doi.org/10.1109/MDAT.2022.3188573 https://www.scopus.com/sourceid/21100286806 https://www.scopus.com/record/display.uri?eid=2-s2.0-85134217319&origin=inward&txGid=5c55e6f09f3a758ff423ba6cdbf70264 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20DES%20TEST&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001060451700010
- Uudne turvaline kiibitehnoloogiaRaik, JaanMente et Manu2021 / lk. 32-33 : fot https://dea.digar.ee/cgi-bin/dea?a=d&d=AKmenteetmanu202104&e=-------et-25--1--txt-txIN%7ctxTI%7ctxAU%7ctxTA-------------
- Uus magistrikava avab lõpetajatele uksed nutikasse maailma tarkadest majadest rohepöördenipostimees.ee2023 https://haridus.postimees.ee/7753252/uus-magistrikava-avab-lopetajatele-uksed-nutikasse-maailma-tarkadest-majadest-rohepoordeni https://www.toostusuudised.ee/uudised/2023/04/14/taltech-avab-elektroonikainseneridele-suunatud-magistrikava
- Wafer-level die re-test success prediction using machine learningSelg, Hardi; Jenihhin, Maksim; Ellervee, Peeter21st IEEE Latin-American Test Symposium (LATS) 2020 : proceedings2020 / 5 p https://doi.org/10.1109/LATS49555.2020.9093672
- Ways for board and system test to benefit from FPGA embedded instrumentationEhrenberg, Heiko; Odintsov, Sergei; Devadze, Sergei; Jutman, Artur; Aleksejev, Igor; Wenzel, Thomas2019 IEEE AUTOTESTCON2019 / 10 p : ill https://doi.org/10.1109/AUTOTESTCON43700.2019.8961057
- Wearable technologies for monitoring aquatic exercises : a systematic reviewMonoli, Cecilia; Tuhtan, Jeffrey Andrew; Piccinini, Luigi; Galli, ManuelaClinical Rehabilitation2023 / p. 791-807 https://doi.org/10.1177/02692155221141039 https://www.scopus.com/sourceid/16317 https://www.scopus.com/record/display.uri?eid=2-s2.0-85143240170&origin=inward&txGid=03cf50c21ae97817c59a6bf616776723 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=CLIN%20REHABIL&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000891209600001
- A web-based economic decision tool for abatement investments for shipping industryBakkar, Yassine; Robal, Tarmo; Prause, Gunnar KlausReliability and statistics in transportation and communication : Selected Papers from the 19th International Conference on Reliability and Statistics in Transportation and Communication, RelStat’19, 16-19 October 2019, Riga, Latvia2020 / p. 363−373 https://doi.org/10.1007/978-3-030-44610-9_36 https://www.scopus.com/sourceid/21100901469 https://www.scopus.com/record/display.uri?eid=2-s2.0-85083966304&origin=inward&txGid=9557b3650e70a990f1587c927fd5fbb3
- Webcam-based attention tracking in online learning: a feasibility studyRobal, Tarmo; Zhao, Yue; Lofi, Christoph; Hauff, ClaudiaIUI '18 : Proceedings of the 2018 Conference on Intelligent User Interface, Tokyo, Japan, March 07-11, 20182018 / p. 189-197 : ill https://doi.org/10.1145/3172944.3172987
- Verifying cache architecture vulnerabilities using a formal security verification flowGhasempouri, Tara; Raik, Jaan; Paul, Kolin; Reinbrecht, Cezar; Hamdioui, Said; Taouil, MottaqiallahMicroelectronics reliability2021 / art. 114085 https://doi.org/10.1016/j.microrel.2021.114085 https://www.scopus.com/sourceid/26717 https://www.scopus.com/record/display.uri?eid=2-s2.0-85102872009&origin=inward&txGid=bbbec1675d4df7951ad6c8a70f214a97 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=MICROELECTRON%20RELIAB&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000637756900007
- A versatile and flexible multiplier generator for Large integer polynomialsImran, Malik; Abideen, Zain Ul; Pagliarini, Samuel NascimentoJournal of hardware and systems security2023 / p. 55–71 https://doi.org/10.1007/s41635-023-00134-2
- Whisker-based tactile navigation algorithm for underground robotsKossas, Tanel; Remmas, Mohamed Walid; Gkliva, Roza; Ristolainen, Asko; Kruusmaa, Maarja2024 IEEE International Conference on Robotics and Automation (ICRA)2024 / p. 13164-13170 https://doi.org/10.1109/ICRA57147.2024.10610762 https://www.scopus.com/sourceid/25456 https://www.scopus.com/record/display.uri?eid=2-s2.0-85199138952&origin=resultslist&sort=plf-f&src=s&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22Whisker-Based+Tactile+Navigation+Algorithm+For+Underground+Robots%22%29&sessionSearchId=15a8f31657a2c073dab20190e5a69ab0&relpos=0
- „Viisin talle isegi tulekindla teki, aga...“ Tulesurmade arv kasvab ehmatava kiirusegaMichelson, Tarmomaaleht.delfi.ee2024 https://maaleht.delfi.ee/artikkel/120277024/viisin-talle-isegi-tulekindla-teki-aga-tulesurmade-arv-kasvab-ehmatava-kiirusega
- Villemonte's approach : a general method for modeling uniform and non-uniform performance in stepped fishwaysFuentes-Pérez, Juan Francisco; Garcia-Vega, Ana; Sanz-Ronda, Francisco Javier; Martinez de Azagra Paredes, AndresKnowledge and management of aquatic ecosystems2017 / art. 23, p. 1-11 : ill https://doi.org/10.1051/kmae/2017013 https://www.scopus.com/sourceid/15900154751 https://www.scopus.com/record/display.uri?eid=2-s2.0-85019886969&origin=inward&txGid=674c2ec14581e02bf33cd318f1217d1a https://jcr.clarivate.com/jcr-jp/journal-profile?journal=KNOWL%20MANAG%20AQUAT%20EC&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:000406714700004
- Villiko Nurmoja selgitab, miks Eesti vajab AI-d ja relvi: oleme väikeriik, kelle hoovis “õunavargil” ei käidageenius.ee2024 https://digi.geenius.ee/blogi/teadus-ja-tulevik/villiko-nurmoja-selgitab-miks-eesti-vajab-ai-d-ja-relvi-oleme-vaikeriik-kelle-hoovis-ounavargil-ei-kaida/
- Virtuaalreaalsus võib peagi väikeettevõtetele kättesaadavamaks muutudaOidermaa, Jaan-Juhannovaator.err.ee2023 https://novaator.err.ee/1608838474/virtuaalreaalsus-voib-peagi-vaikeettevotetele-kattesaadavamaks-muutuda
- Virtual inertia control methods in islanded microgridsŠkiparev, Vjatšeslav; Machlev, Ram; Chowdhury, Nilanjan Roy; Levron, Yoash; Petlenkov, Eduard; Belikov, JuriEnergies2021 / art. 1562, 20 p. : ill https://doi.org/10.3390/en14061562 https://www.scopus.com/sourceid/62932 https://www.scopus.com/record/display.uri?eid=2-s2.0-85106444279&origin=inward&txGid=25149d3569997759b0eed68abaea32ee https://jcr.clarivate.com/jcr-jp/journal-profile?journal=ENERGIES&year=2022 https://www.webofscience.com/wos/woscc/full-record/WOS:000634404400001
- Virtual inertia control of isolated microgrids using an NN-based VFOPID controllerŠkiparev, Vjatšeslav; Nosrati, Komeil; Tepljakov, Aleksei; Petlenkov, Eduard; Levron, Yoash; Belikov, Juri; Guerrero, Joseph M.IEEE Transactions on sustainable energy2023 / p. 1558-1568 https://doi.org/10.1109/TSTE.2023.3237922 https://www.scopus.com/sourceid/19700177027 https://www.scopus.com/record/display.uri?eid=2-s2.0-85147269574&origin=inward&txGid=d3bfd015d89d29a1cbacc79c23aff9fd https://jcr.clarivate.com/jcr-jp/journal-profile?journal=IEEE%20T%20SUSTAIN%20ENERG&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001017354800018
- Virtual reality meets intelligence in large scale architectureKöse, Ahmet; Petlenkov, Eduard; Tepljakov, Aleksei; Vassiljeva, KristinaAugmented Reality, Virtual Reality, and Computer Graphics : 4th International Conference, AVR 2017, Ugento, Italy, June 12-15, 2017 : proceedings. Part II2017 / p. 297-309 : ill https://doi.org/10.1007/978-3-319-60928-7_26 https://www.scopus.com/sourceid/25674 https://www.scopus.com/record/display.uri?eid=2-s2.0-85021230244&origin=inward&txGid=256151fb2919ff2201bdb23d19f138f3 https://www.webofscience.com/wos/woscc/full-record/WOS:000440463000026
- A visual-semantic approach for building content-based recommender systemsBendouch, Mounir M.; Frasincar, Flavius; Robal, TarmoInformation systems2023 / art. 102243, 12 p. : ill https://doi.org/10.1016/j.is.2023.102243 https://www.scopus.com/sourceid/12305 https://www.scopus.com/record/display.uri?eid=2-s2.0-85166661585&origin=inward&txGid=4432893361749018f0665fa3114bbd12 https://jcr.clarivate.com/jcr-jp/journal-profile?journal=INFORM%20SYST&year=2023 https://www.webofscience.com/wos/woscc/full-record/WOS:001027654900001
- VorwortLehmann, Boris; Bensing, Katharina; Adam, Beate; Schwevers, Ulrich; Tuhtan, Jeffrey AndrewEthohydraulik : Eine Methode für naturverträglichen Wasserbau2021 / S. VII-VIII https://doi.org/10.1007/978-3-658-32824-5
- X-keelne õppekava - mis see on?Kukk, VelloÕpetajate Leht2019 / lk. 5
- Искусственный интеллект в решении задач зеленого поворотаPetlenkov, Eduard; Belikov, JuriRUP. Финансово-правовой журнал2023 / c. 3-7 https://www.ester.ee/record=b5550868*est
- Профессор: в ИИ я вижу перспективу развития для многихPetlenkov, EduardStolitsa.ee2023 https://stolitsa.ee/tema-dnya/professor-v-ii-ya-vizhu-perspektivu-razvitiya-dlya-mnogikh-0
- Профессор: в ИИ я вижу перспективу развития для многихPetlenkov, EduardStolitsa.ee2023 https://stolitsa.ee/tema-dnya/professor-v-ii-ya-vizhu-perspektivu-razvitiya-dlya-mnogikh
- «Сейчас время больших перемен»: профессор TalTech приоткрыл завесу тайны развития ИИ в ЭстонииGrebneva, N.; Jenihhin, Maksimrus.postimees.ee2025 https://rus.postimees.ee/8180843/seychas-vremya-bolshih-peremen-professor-taltech-priotkryl-zavesu-tayny-razvitiya-ii-v-estonii
- Студенты аитишники не могут найти работу. «Абсурдная ситуация»Эстонский Экспресс2024 / C. 22-26 https://www.ester.ee/record=b5306225*est
- Школьников и студентов в Эстонии устно будут спрашивать чащеGrebneva, N.rus.postimees.ee2023 https://rus.postimees.ee/7756494/shkolnikov-i-studentov-v-estonii-budut-chashche-sprashivat-ustno
- 一类耦合分数阶反应–扩散系统的边界控制Zhuang, Bo; Cui, Baotong; Chen, JuanControl theory & applications2020 / p. 592-602 https://doi.org/10.7641/CTA.2019.90061 https://www.scopus.com/sourceid/12585 https://www.scopus.com/record/display.uri?eid=2-s2.0-85085204408&origin=resultslist&sort=plf-f&src=s&sid=a6fb1194242752ab2b252272d233250c&sot=b&sdt=b&s=TITLE-ABS-KEY%28%22boundary+control+for+a%22%29&sl=23&sessionSearchId=a6fb1194242752ab2b252272d233250c&relpos=3