Adjustable self-healing methodology for accelerated functions in heterogeneous systemsRiazati, Mohammad; Ghasempouri, Tara; Daneshtalab, Masoud; Raik, Jaan; Sjodin, Mikael; Lisper, Bjorn2020 23rd Euromicro Conference on Digital System Design (DSD), 26-28 August 2020, Kranj, Slovenia2020 / p. 638-645 https://doi.org/10.1109/DSD51259.2020.00104 APPRAISER : DNN fault resilience analysis employing approximation errorsTaheri, Mahdi; Ahmadilivani, Mohammad Hasan; Jenihhin, Maksim; Raik, Jaan; Daneshtalab, Masoud26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, May 3-5, 2023, Tallinn2023 / p. [?] https://ddecs2023.taltech.ee/ An automated configuration framework for TSN networksHoutan, Bahar; Bergström, Albert; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, Saad2021 22nd IEEE International Conference on Industrial Technology (ICIT) : proceedings2021 / p. 771-778 https://doi.org/10.1109/ICIT46573.2021.9453628 AutoRIO : an indoor testbed for developing autonomous vehiclesLoni, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael2018 Proceedings of the Japan-Africa Conference on Electronics, Communications, and Computations (JAC-ECC) : December 16-18, 2018 Alexandria, Egypt2018 / p. 69-72 : ill https://doi.org/10.1109/JEC-ECC.2018.8679543 AVB-aware routing and scheduling for critical traffic in time-sensitive networks with preemptionBerisa, Aldin; Zhao, Luxi; Craciunas, Silviu S.; Ashjaei, Mohammad; Mubeen, Saad; Daneshtalab, Masoud; Sjödin, MikaelRTNS '22: Proceedings of the 30th International Conference on Real-Time Networks and Systems2022 / p. 207-218 https://doi.org/10.1145/3534879.3534926 Challenges in using neural networks in safety-critical applicationsForsberg, H.; Linden, Jan; Hjorth, J.; Manefjord, T.; Daneshtalab, MasoudAIAA/IEEE Digital Avionics Systems Conference - Proceedings, 2020 AIAA/IEEE 39th Digital Avionics Systems Conference (DASC), Virtual Conference, October 11-16, 2020 : Proceedings2020 / 7 p https://doi.org/10.1109/DASC50938.2020.9256519 DeepAxe : a framework for exploration of approximation and reliability trade-offs in DNN acceleratorsTaheri, Mahdi; Riazati, Mohamad; Ahmadilivani, Mohammad Hasan; Jenihhin, Maksim; Daneshtalab, Masoud; Raik, Jaan; Sjödin, Mikael; Lisper, BjörnarXiv.org2023 / 8 p. : ill https://doi.org/10.48550/arXiv.2303.0822 DeepFlexiHLS : Deep Neural Network Flexible High-Level Synthesis Directive GeneratorRiazati, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Lisper, BjörnRiazati, M.; Daneshtalab, M.; Sjodin, M.; Lisper, B.2022 / p. 1-6 https://doi.org/10.1109/NorCAS57515.2022.9934617 DeepHLS: A complete toolchain for automatic synthesis of deep neural networks to FPGARiazati, Mohammad; Daneshtalab, Masoud; Sjodin, Mikael; Lisper, BjornICECS 2020 - 27th IEEE International Conference on Electronics, Circuits and Systems, November 23-25, 2020, Virtual Conference : Proceedings2020 / 4 p https://doi.org/10.1109/ICECS49266.2020.9294881 DenseDisp: Resource-Aware Disparity Map Estimation by Compressing Siamese Neural ArchitectureLoni, Mohammad; Zoljodi, Ali; Maier, Daniel; Majd, Amin; Daneshtalab, Masoud; Sjödin, Mikael; Juurlink, Ben H.H.; Akbari, Reza2020 IEEE Congress on Evolutionary Computation (CEC) : Conference proceedings2020 / 8 p https://doi.org/10.1109/CEC48606.2020.9185611 Designing Compact Convolutional Neural Network for Embedded Stereo Vision SystemsLoni, Mohammad; Majd, Amin; Loni, Abdolah; Daneshtalab, Masoud; Sjödin, Mikael; Troubitsyna, Elena2018 IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip : MCSoC 2018 : proceedings2018 / p. 244–251 : ill https://doi.org/10.1109/MCSoC2018.2018.00049 Developing a translation technique for converged TSN-5G communicationSatka, Zenepe; Pantzar, David; Magnusson, Alexander; Ashjaei, Mohammad; Fotouhi, Hossein; Sjödin, Mikael; Daneshtalab, Masoud; Mubeen, SaadCommunication in Automation : 18th IEEE International Workshop on Factory Communication Systems 2022 : WFCS 20222022 / 8 p https://doi.org/10.1109/WFCS53837.2022.9779191 Efficient on-device transfer learning using activation memory reductionYoosefi, Amin; Mousavi, Hamid; Daneshtalab, Masoud; Kargahi, Mehdi2023 Eighth International Conference on Fog and Mobile Edge Computing (FMEC)2023 / p. 210-215 https://doi.org/10.1109/FMEC59375.2023.10306182 ELC-ECG: efficient LSTM cell for ECG classification based on quantized architectureMirsalari, Seyed Ahmad; Nazari, Najmeh; Ansarmohammadi, Seyed Ali; Sinaei, Sima; Salehi, Mostafa E.; Daneshtalab, Masoud2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea May 22-28, 2021 : proceedings2021 / 5 p https://doi.org/10.1109/ISCAS51556.2021.9401261 Conference Proceedings at Scopus Article at Scopus Article at WOS End-to-end Timing Model Extraction from TSN-Aware Distributed Vehicle SoftwareHoutan, Bahar; Aybek, Mehmet Onur; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, Saad48th Euromicro Conference on Software Engineering and Advanced Applications : SEAA 2022 : proceedings2022 / p. 366-369 https://doi.org/10.1109/SEAA56994.2022.00064 FaCT-LSTM : fast and compact ternary architecture for LSTM recurrent neural networksMirsalari, Seyed Ahmad; Nazari, Najmeh; Sinaei, Sima; Salehi, Mostafa E.; Daneshtalab, MasoudIEEE design & test2022 / p. 45-53 https://doi.org/10.1109/MDAT.2021.3070245 FastStereoNet : A fast neural architecture search for improving the inference of disparity estimation on resource-limited platformsLoni, Mohammad; Zoljodi, Ali; Majd, Amin; Ahn, Byung Hoon; Daneshtalab, Masoud; Sjödin, Mikael; Esmaeilzadeh, HadiIEEE Transactions on Systems, Man, and Cybernetics : Systems2022 / p. 5222-5234 : ill https://doi.org/10.1109/TSMC.2021.3123136 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS Guest editorial : Special issue on parallel, distributed, and network-based processing in next-generation embedded systemsMubeen, Saad; Lo Bello, Lucia; Daneshtalab, Masoud; Saponara, SergioJournal of Systems Architecture : the EUROMICRO Journal2021 / art. 102159 https://doi.org/10.1016/j.sysarc.2021.102159 Improving motion safety and efficiency of intelligent autonomous swarm of dronesMajd, Amin; Loni, Mohammad; Sahebi, Golnaz; Daneshtalab, MasoudDrones2020 / art. 48, 19 p. : ill https://doi.org/10.3390/drones4030048 Message from Program Co-Chairs: PDP 2020Daneshtalab, Masoud; Brorsson, Mats2020 28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing : PDP 2020, Västerås, Sweden, 11-13 March 20202020 / 1 p https://doi.org/10.1109/PDP50117.2020.00006 Multi-level binarized LSTM in EEG classification for wearable devicesNazari, N.; Mirsalari, Seyed Ahmad; Sinaei, S.; Salehi, M.E.; Daneshtalab, Masoud2020 28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing : PDP 2020, Västerås, Sweden, 11-13 March 20202020 / p. 175-181 https://doi.org/10.1109/PDP50117.2020.00033 Network-on-ReRAM for scalable processing-in-memory architecture designDabiri, Bita; Modarressi, Mehdi; Daneshtalab, Masoud24th Euromicro Conference on Digital System Design (DSD)2021 https://doi.org/10.1109/DSD53832.2021.00031 NoM: network-on-memory for inter-bank data transfer in highly-banked memoriesRezaei, S.H.S.A.; Modarressi, M.; Ausavarungnirun, R.; Sadrosadati, M.; Mutlu, O.; Daneshtalab, MasoudIEEE computer architecture letters2020 / p. 80-83 http://dx.doi.org/10.1109/LCA.2020.2990599 Preface from General Co-Chairs: PDP 2020Daneshtalab, Masoud; Francesco, L.; Sjodin, Mikael2020 28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing : PDP 2020, Västerås, Sweden, 11-13 March 20202020 / 1 p https://doi.org/10.1109/PDP50117.2020.00005 QoS-MAN : a novel QoS mapping algorithm for TSN-5G flowsSatka, Zenepe; Ashjaei, Mohammad; Fotouhi, Hossein; Daneshtalab, Masoud; Sjodin, Mikael; Mubeen, Saad2022 IEEE 28th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA)2022 / p. 220-227 https://doi.org/10.1109/RTCSA55878.2022.00030 Review, analysis, and implementation of path selection strategies for 2D NoCsSingh, Rajendra; Bohra, Manoj Kumar; Hemrajani, Prashant; Kalla, Anshuman; Bhatt, Devershi Pallavi; Purohit, Nitin; Daneshtalab, MasoudIEEE Access2022 / p. 129245 - 129268 https://doi.org/10.1109/ACCESS.2022.3227460 Journal metrics at Scopus Article at Scopus Journal metrics at WOS Article at WOS RoCo-NAS : robust and compact neural architecture searchGeraeinejad, Vahid; Sinaei, Sima; Modarressi, Mehdi; Daneshtalab, Masoud2021 International Joint Conference on Neural Networks (IJCNN) : proceedings2021 / 8 l https://doi.org/10.1109/IJCNN52387.2021.9534460 Conference Proceedings at Scopus Article at Scopus Article at WOS Scalable parallel genetic algorithm for solving large integer linear programming models derived from behavioral synthesisFallah, Mohammad K.; Mirhosseini, M.; Fazlali, Mahmood; Daneshtalab, Masoud2020 28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing : PDP 2020, Västerås, Sweden, 11-13 March 20202020 / p. 390-394 https://doi.org/10.1109/PDP50117.2020.00066 Schedulability analysis of best-effort traffic in TSN networksHoutan, Bahar; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Afshar, Sara; Mubeen, Saad26th IEEE International Conference on Emerging Technologies and Factory Automation (ETFA)2021 / 8 l https://doi.org/10.1109/ETFA45728.2021.9613511 A symbiosis between population based incremental learning and LP-relaxation based parallel genetic algorithm for solving integer linear programming modelsFallah, Mohammad K.; Fazlali, Mahmood; Daneshtalab, MasoudComputing2023 / p. 1121-1139 https://doi.org/10.1007/s00607-021-01004-x Synthesising schedules to improve QoS of best-effort traffic in TSN networksHoutan, Bahar; Ashjaei, Mohammad; Daneshtalab, Masoud; Sjödin, Mikael; Mubeen, SaadRTNS'2021 : 29th International Conference on Real-Time Networks and Systems2021 / p. 68-77 https://doi.org/10.1145/3453417.3453423 TAS : Ternarized Neural Architecture Search for Resource-Constrained Edge DevicesLoni, Mohammad; Mousavi, Hamid; Riazati, Mohammad; Daneshtalab, Masoud; Sjödin, MikaelProceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE 2022)2022 / p. 1115-1118 https://doi.org/10.23919/DATE54114.2022.9774615 3DLaneNAS : neural architecture search for accurate and light-weight 3D lane detectionZoljodi, Ali; Loni, Mohammad; Abadijou, Sadegh; Alibeigi, Mina; Daneshtalab, MasoudArtificial Neural Networks and Machine Learning - ICANN 2022 : proceedings. Part I2022 / p. 404-415 https://doi.org/10.1007/978-3-031-15919-0_34 Conference Proceedings at scopus Article at Scopus Conference Proceedings at WOS Article at WOS Time-Sensitive Networking in automotive embedded systems : state of the art and research opportunitiesAshjaei, Mohammad; Bello, Lucia Lo; Daneshtalab, Masoud; Patti, Gaetano; Saponara, Sergio; Mubeen, SaadJournal of Systems Architecture : the EUROMICRO Journal2021 https://doi.org/10.1016/j.sysarc.2021.102137